13655 14039 128 256 128 2 1 128 256 384 XOR 2 1 158 350 385 XOR 2 1 284 348 386 XOR 2 1 386 156 387 XOR 2 1 154 282 388 XOR 2 1 152 344 389 XOR 2 1 278 342 390 XOR 2 1 390 150 391 XOR 2 1 148 276 392 XOR 2 1 392 20 393 XOR 2 1 244 372 394 XOR 2 1 394 116 395 XOR 2 1 393 395 396 AND 2 1 180 308 397 XOR 2 1 397 52 398 XOR 2 1 396 398 399 XOR 2 1 134 262 400 XOR 2 1 400 6 401 XOR 2 1 230 358 402 XOR 2 1 402 102 403 XOR 2 1 401 403 404 AND 2 1 166 294 405 XOR 2 1 405 38 406 XOR 2 1 404 406 407 XOR 2 1 146 274 408 XOR 2 1 408 18 409 XOR 2 1 242 370 410 XOR 2 1 410 114 411 XOR 2 1 409 411 412 AND 2 1 178 306 413 XOR 2 1 413 50 414 XOR 2 1 412 414 415 XOR 2 1 407 415 416 XOR 2 1 131 259 417 XOR 2 1 417 3 418 XOR 2 1 227 355 419 XOR 2 1 419 99 420 XOR 2 1 418 420 421 AND 2 1 163 291 422 XOR 2 1 422 35 423 XOR 2 1 421 423 424 XOR 2 1 143 271 425 XOR 2 1 425 15 426 XOR 2 1 239 367 427 XOR 2 1 427 111 428 XOR 2 1 426 428 429 AND 2 1 175 303 430 XOR 2 1 430 47 431 XOR 2 1 429 431 432 XOR 2 1 424 432 433 XOR 2 1 416 433 434 XOR 2 1 399 434 435 XOR 2 1 149 277 436 XOR 2 1 436 21 437 XOR 2 1 245 373 438 XOR 2 1 438 117 439 XOR 2 1 437 439 440 AND 2 1 181 309 441 XOR 2 1 441 53 442 XOR 2 1 440 442 443 XOR 2 1 135 263 444 XOR 2 1 444 7 445 XOR 2 1 231 359 446 XOR 2 1 446 103 447 XOR 2 1 445 447 448 AND 2 1 167 295 449 XOR 2 1 449 39 450 XOR 2 1 448 450 451 XOR 2 1 147 275 452 XOR 2 1 452 19 453 XOR 2 1 243 371 454 XOR 2 1 454 115 455 XOR 2 1 453 455 456 AND 2 1 179 307 457 XOR 2 1 457 51 458 XOR 2 1 456 458 459 XOR 2 1 451 459 460 XOR 2 1 132 260 461 XOR 2 1 461 4 462 XOR 2 1 228 356 463 XOR 2 1 463 100 464 XOR 2 1 462 464 465 AND 2 1 164 292 466 XOR 2 1 466 36 467 XOR 2 1 465 467 468 XOR 2 1 144 272 469 XOR 2 1 469 16 470 XOR 2 1 240 368 471 XOR 2 1 471 112 472 XOR 2 1 470 472 473 AND 2 1 176 304 474 XOR 2 1 474 48 475 XOR 2 1 473 475 476 XOR 2 1 468 476 477 XOR 2 1 460 477 478 XOR 2 1 443 478 479 XOR 2 1 435 479 480 XOR 2 1 159 287 481 XOR 2 1 481 31 482 XOR 2 1 191 319 483 XOR 2 1 483 63 484 XOR 2 1 482 484 485 AND 2 1 223 351 486 XOR 2 1 486 95 487 XOR 2 1 485 487 488 XOR 2 1 145 273 489 XOR 2 1 489 17 490 XOR 2 1 177 305 491 XOR 2 1 491 49 492 XOR 2 1 490 492 493 AND 2 1 209 337 494 XOR 2 1 494 81 495 XOR 2 1 493 495 496 XOR 2 1 157 285 497 XOR 2 1 497 29 498 XOR 2 1 189 317 499 XOR 2 1 499 61 500 XOR 2 1 498 500 501 AND 2 1 221 349 502 XOR 2 1 502 93 503 XOR 2 1 501 503 504 XOR 2 1 496 504 505 XOR 2 1 142 270 506 XOR 2 1 506 14 507 XOR 2 1 174 302 508 XOR 2 1 508 46 509 XOR 2 1 507 509 510 AND 2 1 206 334 511 XOR 2 1 511 78 512 XOR 2 1 510 512 513 XOR 2 1 388 26 514 XOR 2 1 186 314 515 XOR 2 1 515 58 516 XOR 2 1 514 516 517 AND 2 1 218 346 518 XOR 2 1 518 90 519 XOR 2 1 517 519 520 XOR 2 1 513 520 521 XOR 2 1 505 521 522 XOR 2 1 488 522 523 XOR 2 1 384 0 524 XOR 2 1 160 288 525 XOR 2 1 525 32 526 XOR 2 1 524 526 527 AND 2 1 192 320 528 XOR 2 1 528 64 529 XOR 2 1 527 529 530 XOR 2 1 409 414 531 AND 2 1 210 338 532 XOR 2 1 532 82 533 XOR 2 1 531 533 534 XOR 2 1 158 286 535 XOR 2 1 535 30 536 XOR 2 1 190 318 537 XOR 2 1 537 62 538 XOR 2 1 536 538 539 AND 2 1 222 350 540 XOR 2 1 540 94 541 XOR 2 1 539 541 542 XOR 2 1 534 542 543 XOR 2 1 426 431 544 AND 2 1 207 335 545 XOR 2 1 545 79 546 XOR 2 1 544 546 547 XOR 2 1 155 283 548 XOR 2 1 548 27 549 XOR 2 1 187 315 550 XOR 2 1 550 59 551 XOR 2 1 549 551 552 AND 2 1 219 347 553 XOR 2 1 553 91 554 XOR 2 1 552 554 555 XOR 2 1 547 555 556 XOR 2 1 543 556 557 XOR 2 1 530 557 558 XOR 2 1 523 558 559 XOR 2 1 152 280 560 XOR 2 1 560 24 561 XOR 2 1 248 376 562 XOR 2 1 562 120 563 XOR 2 1 561 563 564 AND 2 1 184 312 565 XOR 2 1 565 56 566 XOR 2 1 564 566 567 XOR 2 1 468 567 568 XOR 2 1 129 257 569 XOR 2 1 569 1 570 XOR 2 1 225 353 571 XOR 2 1 571 97 572 XOR 2 1 570 572 573 AND 2 1 161 289 574 XOR 2 1 574 33 575 XOR 2 1 573 575 576 XOR 2 1 443 576 577 XOR 2 1 568 577 578 XOR 2 1 407 578 579 XOR 2 1 559 579 580 XOR 2 1 480 580 581 XOR 2 1 393 398 582 AND 2 1 212 340 583 XOR 2 1 583 84 584 XOR 2 1 582 584 585 XOR 2 1 395 585 586 AND 2 1 393 586 587 XOR 2 1 401 406 588 AND 2 1 198 326 589 XOR 2 1 589 70 590 XOR 2 1 588 590 591 XOR 2 1 403 591 592 AND 2 1 401 592 593 XOR 2 1 411 534 594 AND 2 1 409 594 595 XOR 2 1 593 595 596 XOR 2 1 418 423 597 AND 2 1 195 323 598 XOR 2 1 598 67 599 XOR 2 1 597 599 600 XOR 2 1 420 600 601 AND 2 1 418 601 602 XOR 2 1 428 547 603 AND 2 1 426 603 604 XOR 2 1 602 604 605 XOR 2 1 596 605 606 XOR 2 1 587 606 607 XOR 2 1 437 442 608 AND 2 1 213 341 609 XOR 2 1 609 85 610 XOR 2 1 608 610 611 XOR 2 1 439 611 612 AND 2 1 437 612 613 XOR 2 1 445 450 614 AND 2 1 199 327 615 XOR 2 1 615 71 616 XOR 2 1 614 616 617 XOR 2 1 447 617 618 AND 2 1 445 618 619 XOR 2 1 453 458 620 AND 2 1 211 339 621 XOR 2 1 621 83 622 XOR 2 1 620 622 623 XOR 2 1 455 623 624 AND 2 1 453 624 625 XOR 2 1 619 625 626 XOR 2 1 462 467 627 AND 2 1 196 324 628 XOR 2 1 628 68 629 XOR 2 1 627 629 630 XOR 2 1 464 630 631 AND 2 1 462 631 632 XOR 2 1 470 475 633 AND 2 1 208 336 634 XOR 2 1 634 80 635 XOR 2 1 633 635 636 XOR 2 1 472 636 637 AND 2 1 470 637 638 XOR 2 1 632 638 639 XOR 2 1 626 639 640 XOR 2 1 613 640 641 XOR 2 1 607 641 642 XOR 2 1 254 382 643 XOR 2 1 643 126 644 XOR 2 1 536 644 645 AND 2 1 538 645 646 XOR 2 1 542 646 647 AND 2 1 647 644 648 XOR 2 1 476 636 649 AND 2 1 472 649 650 XOR 2 1 156 284 651 XOR 2 1 651 28 652 XOR 2 1 252 380 653 XOR 2 1 653 124 654 XOR 2 1 652 654 655 AND 2 1 188 316 656 XOR 2 1 656 60 657 XOR 2 1 655 657 658 XOR 2 1 652 657 659 AND 2 1 220 348 660 XOR 2 1 660 92 661 XOR 2 1 659 661 662 XOR 2 1 658 662 663 AND 2 1 663 654 664 XOR 2 1 650 664 665 XOR 2 1 141 269 666 XOR 2 1 666 13 667 XOR 2 1 237 365 668 XOR 2 1 668 109 669 XOR 2 1 667 669 670 AND 2 1 173 301 671 XOR 2 1 671 45 672 XOR 2 1 670 672 673 XOR 2 1 667 672 674 AND 2 1 205 333 675 XOR 2 1 675 77 676 XOR 2 1 674 676 677 XOR 2 1 673 677 678 AND 2 1 678 669 679 XOR 2 1 153 281 680 XOR 2 1 680 25 681 XOR 2 1 249 377 682 XOR 2 1 682 121 683 XOR 2 1 681 683 684 AND 2 1 185 313 685 XOR 2 1 685 57 686 XOR 2 1 684 686 687 XOR 2 1 681 686 688 AND 2 1 217 345 689 XOR 2 1 689 89 690 XOR 2 1 688 690 691 XOR 2 1 687 691 692 AND 2 1 692 683 693 XOR 2 1 679 693 694 XOR 2 1 665 694 695 XOR 2 1 648 695 696 XOR 2 1 255 383 697 XOR 2 1 697 127 698 XOR 2 1 482 698 699 AND 2 1 484 699 700 XOR 2 1 488 700 701 AND 2 1 701 698 702 XOR 2 1 241 369 703 XOR 2 1 703 113 704 XOR 2 1 490 704 705 AND 2 1 492 705 706 XOR 2 1 496 706 707 AND 2 1 707 704 708 XOR 2 1 253 381 709 XOR 2 1 709 125 710 XOR 2 1 498 710 711 AND 2 1 500 711 712 XOR 2 1 504 712 713 AND 2 1 713 710 714 XOR 2 1 708 714 715 XOR 2 1 238 366 716 XOR 2 1 716 110 717 XOR 2 1 507 717 718 AND 2 1 509 718 719 XOR 2 1 513 719 720 AND 2 1 720 717 721 XOR 2 1 250 378 722 XOR 2 1 722 122 723 XOR 2 1 514 723 724 AND 2 1 516 724 725 XOR 2 1 520 725 726 AND 2 1 726 723 727 XOR 2 1 721 727 728 XOR 2 1 715 728 729 XOR 2 1 702 729 730 XOR 2 1 696 730 731 XOR 2 1 561 566 732 AND 2 1 216 344 733 XOR 2 1 733 88 734 XOR 2 1 732 734 735 XOR 2 1 563 735 736 AND 2 1 561 736 737 XOR 2 1 632 737 738 XOR 2 1 570 575 739 AND 2 1 193 321 740 XOR 2 1 740 65 741 XOR 2 1 739 741 742 XOR 2 1 572 742 743 AND 2 1 570 743 744 XOR 2 1 613 744 745 XOR 2 1 738 745 746 XOR 2 1 593 746 747 XOR 2 1 731 747 748 XOR 2 1 642 748 749 XOR 2 1 581 749 750 AND 2 1 534 591 751 XOR 2 1 547 600 752 XOR 2 1 751 752 753 XOR 2 1 585 753 754 XOR 2 1 617 623 755 XOR 2 1 630 636 756 XOR 2 1 755 756 757 XOR 2 1 611 757 758 XOR 2 1 754 758 759 XOR 2 1 476 658 760 XOR 2 1 673 687 761 XOR 2 1 760 761 762 XOR 2 1 646 762 763 XOR 2 1 706 712 764 XOR 2 1 719 725 765 XOR 2 1 764 765 766 XOR 2 1 700 766 767 XOR 2 1 763 767 768 XOR 2 1 630 735 769 XOR 2 1 611 742 770 XOR 2 1 769 770 771 XOR 2 1 591 771 772 XOR 2 1 768 772 773 XOR 2 1 759 773 774 XOR 2 1 750 774 775 XOR 2 1 133 261 776 XOR 2 1 776 5 777 XOR 2 1 229 357 778 XOR 2 1 778 101 779 XOR 2 1 777 779 780 AND 2 1 165 293 781 XOR 2 1 781 37 782 XOR 2 1 780 782 783 XOR 2 1 687 783 784 XOR 2 1 150 278 785 XOR 2 1 785 22 786 XOR 2 1 246 374 787 XOR 2 1 787 118 788 XOR 2 1 786 788 789 AND 2 1 182 310 790 XOR 2 1 790 54 791 XOR 2 1 789 791 792 XOR 2 1 130 258 793 XOR 2 1 793 2 794 XOR 2 1 226 354 795 XOR 2 1 795 98 796 XOR 2 1 794 796 797 AND 2 1 162 290 798 XOR 2 1 798 34 799 XOR 2 1 797 799 800 XOR 2 1 792 800 801 XOR 2 1 784 801 802 XOR 2 1 451 802 803 XOR 2 1 579 803 804 XOR 2 1 140 268 805 XOR 2 1 805 12 806 XOR 2 1 172 300 807 XOR 2 1 807 44 808 XOR 2 1 806 808 809 AND 2 1 204 332 810 XOR 2 1 810 76 811 XOR 2 1 809 811 812 XOR 2 1 530 812 813 XOR 2 1 752 813 814 XOR 2 1 496 814 815 XOR 2 1 677 742 816 XOR 2 1 756 816 817 XOR 2 1 534 817 818 XOR 2 1 815 818 819 XOR 2 1 138 266 820 XOR 2 1 820 10 821 XOR 2 1 234 362 822 XOR 2 1 822 106 823 XOR 2 1 821 823 824 AND 2 1 170 298 825 XOR 2 1 825 42 826 XOR 2 1 824 826 827 XOR 2 1 792 827 828 XOR 2 1 460 828 829 XOR 2 1 567 829 830 XOR 2 1 819 830 831 XOR 2 1 804 831 832 XOR 2 1 683 691 833 AND 2 1 681 833 834 XOR 2 1 777 782 835 AND 2 1 197 325 836 XOR 2 1 836 69 837 XOR 2 1 835 837 838 XOR 2 1 779 838 839 AND 2 1 777 839 840 XOR 2 1 834 840 841 XOR 2 1 786 791 842 AND 2 1 214 342 843 XOR 2 1 843 86 844 XOR 2 1 842 844 845 XOR 2 1 788 845 846 AND 2 1 786 846 847 XOR 2 1 794 799 848 AND 2 1 194 322 849 XOR 2 1 849 66 850 XOR 2 1 848 850 851 XOR 2 1 796 851 852 AND 2 1 794 852 853 XOR 2 1 847 853 854 XOR 2 1 841 854 855 XOR 2 1 619 855 856 XOR 2 1 747 856 857 XOR 2 1 800 851 858 AND 2 1 796 858 859 XOR 2 1 721 859 860 XOR 2 1 139 267 861 XOR 2 1 861 11 862 XOR 2 1 235 363 863 XOR 2 1 863 107 864 XOR 2 1 862 864 865 AND 2 1 171 299 866 XOR 2 1 866 43 867 XOR 2 1 865 867 868 XOR 2 1 862 867 869 AND 2 1 203 331 870 XOR 2 1 870 75 871 XOR 2 1 869 871 872 XOR 2 1 868 872 873 AND 2 1 873 864 874 XOR 2 1 702 874 875 XOR 2 1 860 875 876 XOR 2 1 650 876 877 XOR 2 1 424 600 878 AND 2 1 420 878 879 XOR 2 1 432 547 880 AND 2 1 428 880 881 XOR 2 1 879 881 882 XOR 2 1 224 352 883 XOR 2 1 883 96 884 XOR 2 1 524 884 885 AND 2 1 526 885 886 XOR 2 1 530 886 887 AND 2 1 887 884 888 XOR 2 1 236 364 889 XOR 2 1 889 108 890 XOR 2 1 806 890 891 AND 2 1 808 891 892 XOR 2 1 812 892 893 AND 2 1 893 890 894 XOR 2 1 888 894 895 XOR 2 1 882 895 896 XOR 2 1 708 896 897 XOR 2 1 877 897 898 XOR 2 1 821 826 899 AND 2 1 202 330 900 XOR 2 1 900 74 901 XOR 2 1 899 901 902 XOR 2 1 823 902 903 AND 2 1 821 903 904 XOR 2 1 847 904 905 XOR 2 1 626 905 906 XOR 2 1 737 906 907 XOR 2 1 898 907 908 XOR 2 1 857 908 909 XOR 2 1 832 909 910 AND 2 1 691 838 911 XOR 2 1 845 851 912 XOR 2 1 911 912 913 XOR 2 1 617 913 914 XOR 2 1 772 914 915 XOR 2 1 719 800 916 XOR 2 1 700 868 917 XOR 2 1 916 917 918 XOR 2 1 476 918 919 XOR 2 1 886 892 920 XOR 2 1 433 920 921 XOR 2 1 706 921 922 XOR 2 1 919 922 923 XOR 2 1 845 902 924 XOR 2 1 755 924 925 XOR 2 1 735 925 926 XOR 2 1 923 926 927 XOR 2 1 915 927 928 XOR 2 1 910 928 929 XOR 2 1 576 673 930 XOR 2 1 477 930 931 XOR 2 1 415 931 932 XOR 2 1 706 783 933 XOR 2 1 916 933 934 XOR 2 1 459 934 935 XOR 2 1 932 935 936 XOR 2 1 735 812 937 XOR 2 1 556 937 938 XOR 2 1 504 938 939 XOR 2 1 636 662 940 XOR 2 1 677 691 941 XOR 2 1 940 941 942 XOR 2 1 542 942 943 XOR 2 1 939 943 944 XOR 2 1 459 700 945 XOR 2 1 801 945 946 XOR 2 1 468 946 947 XOR 2 1 944 947 948 XOR 2 1 936 948 949 XOR 2 1 669 677 950 AND 2 1 667 950 951 XOR 2 1 744 951 952 XOR 2 1 639 952 953 XOR 2 1 595 953 954 XOR 2 1 496 704 955 AND 2 1 490 955 956 XOR 2 1 840 956 957 XOR 2 1 513 717 958 AND 2 1 507 958 959 XOR 2 1 853 959 960 XOR 2 1 957 960 961 XOR 2 1 625 961 962 XOR 2 1 954 962 963 XOR 2 1 151 279 964 XOR 2 1 964 23 965 XOR 2 1 247 375 966 XOR 2 1 966 119 967 XOR 2 1 965 967 968 AND 2 1 183 311 969 XOR 2 1 969 55 970 XOR 2 1 968 970 971 XOR 2 1 965 970 972 AND 2 1 215 343 973 XOR 2 1 973 87 974 XOR 2 1 972 974 975 XOR 2 1 971 975 976 AND 2 1 976 967 977 XOR 2 1 874 977 978 XOR 2 1 728 978 979 XOR 2 1 664 979 980 XOR 2 1 251 379 981 XOR 2 1 981 123 982 XOR 2 1 549 982 983 AND 2 1 551 983 984 XOR 2 1 555 984 985 AND 2 1 985 982 986 XOR 2 1 881 986 987 XOR 2 1 567 735 988 AND 2 1 563 988 989 XOR 2 1 894 989 990 XOR 2 1 987 990 991 XOR 2 1 714 991 992 XOR 2 1 980 992 993 XOR 2 1 488 698 994 AND 2 1 482 994 995 XOR 2 1 625 995 996 XOR 2 1 854 996 997 XOR 2 1 632 997 998 XOR 2 1 993 998 999 XOR 2 1 963 999 1000 XOR 2 1 949 1000 1001 AND 2 1 496 838 1002 XOR 2 1 513 851 1003 XOR 2 1 1002 1003 1004 XOR 2 1 623 1004 1005 XOR 2 1 818 1005 1006 XOR 2 1 868 971 1007 XOR 2 1 765 1007 1008 XOR 2 1 658 1008 1009 XOR 2 1 432 984 1010 XOR 2 1 567 892 1011 XOR 2 1 1010 1011 1012 XOR 2 1 712 1012 1013 XOR 2 1 1009 1013 1014 XOR 2 1 488 623 1015 XOR 2 1 912 1015 1016 XOR 2 1 630 1016 1017 XOR 2 1 1014 1017 1018 XOR 2 1 1006 1018 1019 XOR 2 1 1001 1019 1020 XOR 2 1 929 1020 1021 XOR 2 1 415 646 1022 XOR 2 1 577 1022 1023 XOR 2 1 424 1023 1024 XOR 2 1 947 1024 1025 XOR 2 1 137 265 1026 XOR 2 1 1026 9 1027 XOR 2 1 169 297 1028 XOR 2 1 1028 41 1029 XOR 2 1 1027 1029 1030 AND 2 1 201 329 1031 XOR 2 1 1031 73 1032 XOR 2 1 1030 1032 1033 XOR 2 1 504 1033 1034 XOR 2 1 813 1034 1035 XOR 2 1 513 1035 1036 XOR 2 1 542 902 1037 XOR 2 1 816 1037 1038 XOR 2 1 547 1038 1039 XOR 2 1 1036 1039 1040 XOR 2 1 479 1040 1041 XOR 2 1 1025 1041 1042 XOR 2 1 542 644 1043 AND 2 1 536 1043 1044 XOR 2 1 595 1044 1045 XOR 2 1 745 1045 1046 XOR 2 1 602 1046 1047 XOR 2 1 998 1047 1048 XOR 2 1 136 264 1049 XOR 2 1 1049 8 1050 XOR 2 1 232 360 1051 XOR 2 1 1051 104 1052 XOR 2 1 1050 1052 1053 AND 2 1 168 296 1054 XOR 2 1 1054 40 1055 XOR 2 1 1053 1055 1056 XOR 2 1 1050 1055 1057 AND 2 1 200 328 1058 XOR 2 1 1058 72 1059 XOR 2 1 1057 1059 1060 XOR 2 1 1056 1060 1061 AND 2 1 1061 1052 1062 XOR 2 1 664 1062 1063 XOR 2 1 875 1063 1064 XOR 2 1 679 1064 1065 XOR 2 1 233 361 1066 XOR 2 1 1066 105 1067 XOR 2 1 1027 1067 1068 AND 2 1 1029 1068 1069 XOR 2 1 1033 1069 1070 AND 2 1 1070 1067 1071 XOR 2 1 714 1071 1072 XOR 2 1 895 1072 1073 XOR 2 1 721 1073 1074 XOR 2 1 1065 1074 1075 XOR 2 1 641 1075 1076 XOR 2 1 1048 1076 1077 XOR 2 1 1042 1077 1078 AND 2 1 543 770 1079 XOR 2 1 600 1079 1080 XOR 2 1 1017 1080 1081 XOR 2 1 658 1056 1082 XOR 2 1 917 1082 1083 XOR 2 1 673 1083 1084 XOR 2 1 712 1069 1085 XOR 2 1 920 1085 1086 XOR 2 1 719 1086 1087 XOR 2 1 1084 1087 1088 XOR 2 1 758 1088 1089 XOR 2 1 1081 1089 1090 XOR 2 1 1078 1090 1091 XOR 2 1 646 827 1092 XOR 2 1 930 1092 1093 XOR 2 1 432 1093 1094 XOR 2 1 919 1094 1095 XOR 2 1 611 1033 1096 XOR 2 1 937 1096 1097 XOR 2 1 520 1097 1098 XOR 2 1 924 941 1099 XOR 2 1 555 1099 1100 XOR 2 1 1098 1100 1101 XOR 2 1 760 945 1102 XOR 2 1 576 1102 1103 XOR 2 1 1101 1103 1104 XOR 2 1 1095 1104 1105 XOR 2 1 904 1044 1106 XOR 2 1 952 1106 1107 XOR 2 1 604 1107 1108 XOR 2 1 864 872 1109 AND 2 1 862 1109 1110 XOR 2 1 995 1110 1111 XOR 2 1 960 1111 1112 XOR 2 1 638 1112 1113 XOR 2 1 1108 1113 1114 XOR 2 1 399 585 1115 AND 2 1 395 1115 1116 XOR 2 1 1062 1116 1117 XOR 2 1 978 1117 1118 XOR 2 1 693 1118 1119 XOR 2 1 443 611 1120 AND 2 1 439 1120 1121 XOR 2 1 1071 1121 1122 XOR 2 1 990 1122 1123 XOR 2 1 727 1123 1124 XOR 2 1 1119 1124 1125 XOR 2 1 654 662 1126 AND 2 1 652 1126 1127 XOR 2 1 638 1127 1128 XOR 2 1 996 1128 1129 XOR 2 1 744 1129 1130 XOR 2 1 1125 1130 1131 XOR 2 1 1114 1131 1132 XOR 2 1 1105 1132 1133 AND 2 1 488 872 1134 XOR 2 1 1003 1134 1135 XOR 2 1 636 1135 1136 XOR 2 1 1039 1136 1137 XOR 2 1 399 1056 1138 XOR 2 1 1007 1138 1139 XOR 2 1 687 1139 1140 XOR 2 1 443 1069 1141 XOR 2 1 1011 1141 1142 XOR 2 1 725 1142 1143 XOR 2 1 1140 1143 1144 XOR 2 1 940 1015 1145 XOR 2 1 742 1145 1146 XOR 2 1 1144 1146 1147 XOR 2 1 1137 1147 1148 XOR 2 1 1133 1148 1149 XOR 2 1 1091 1149 1150 XOR 2 1 1021 1150 1151 XOR 2 1 775 1151 1152 XOR 2 1 933 1138 1153 XOR 2 1 792 1153 1154 XOR 2 1 479 1154 1155 XOR 2 1 558 1146 1156 XOR 2 1 803 1156 1157 XOR 2 1 1155 1157 1158 XOR 2 1 1052 1060 1159 AND 2 1 1050 1159 1160 XOR 2 1 587 1160 1161 XOR 2 1 957 1161 1162 XOR 2 1 847 1162 1163 XOR 2 1 641 1163 1164 XOR 2 1 415 534 1165 AND 2 1 411 1165 1166 XOR 2 1 648 1166 1167 XOR 2 1 987 1167 1168 XOR 2 1 888 1168 1169 XOR 2 1 730 1169 1170 XOR 2 1 856 1170 1171 XOR 2 1 1164 1171 1172 XOR 2 1 1158 1172 1173 AND 2 1 585 1060 1174 XOR 2 1 1002 1174 1175 XOR 2 1 845 1175 1176 XOR 2 1 758 1176 1177 XOR 2 1 1010 1022 1178 XOR 2 1 886 1178 1179 XOR 2 1 767 1179 1180 XOR 2 1 914 1180 1181 XOR 2 1 1177 1181 1182 XOR 2 1 1173 1182 1183 XOR 2 1 407 725 1184 XOR 2 1 424 971 1185 XOR 2 1 1184 1185 1186 XOR 2 1 1056 1186 1187 XOR 2 1 803 1187 1188 XOR 2 1 1006 1140 1189 XOR 2 1 1188 1189 1190 XOR 2 1 520 723 1191 AND 2 1 514 1191 1192 XOR 2 1 593 1192 1193 XOR 2 1 967 975 1194 AND 2 1 965 1194 1195 XOR 2 1 602 1195 1196 XOR 2 1 1193 1196 1197 XOR 2 1 1160 1197 1198 XOR 2 1 856 1198 1199 XOR 2 1 468 630 1200 AND 2 1 464 1200 1201 XOR 2 1 650 1201 1202 XOR 2 1 576 742 1203 AND 2 1 572 1203 1204 XOR 2 1 679 1204 1205 XOR 2 1 1202 1205 1206 XOR 2 1 1166 1206 1207 XOR 2 1 897 1207 1208 XOR 2 1 1110 1195 1209 XOR 2 1 1161 1209 1210 XOR 2 1 834 1210 1211 XOR 2 1 1208 1211 1212 XOR 2 1 1199 1212 1213 XOR 2 1 1190 1213 1214 AND 2 1 520 591 1215 XOR 2 1 600 975 1216 XOR 2 1 1215 1216 1217 XOR 2 1 1060 1217 1218 XOR 2 1 914 1218 1219 XOR 2 1 922 932 1220 XOR 2 1 872 975 1221 XOR 2 1 1174 1221 1222 XOR 2 1 691 1222 1223 XOR 2 1 1220 1223 1224 XOR 2 1 1219 1224 1225 XOR 2 1 1214 1225 1226 XOR 2 1 435 935 1227 XOR 2 1 523 943 1228 XOR 2 1 399 886 1229 XOR 2 1 1185 1229 1230 XOR 2 1 783 1230 1231 XOR 2 1 1228 1231 1232 XOR 2 1 1227 1232 1233 XOR 2 1 607 962 1234 XOR 2 1 696 992 1235 XOR 2 1 530 884 1236 AND 2 1 524 1236 1237 XOR 2 1 587 1237 1238 XOR 2 1 1196 1238 1239 XOR 2 1 840 1239 1240 XOR 2 1 1235 1240 1241 XOR 2 1 1234 1241 1242 XOR 2 1 1233 1242 1243 AND 2 1 754 1005 1244 XOR 2 1 763 1013 1245 XOR 2 1 530 585 1246 XOR 2 1 1216 1246 1247 XOR 2 1 838 1247 1248 XOR 2 1 1245 1248 1249 XOR 2 1 1244 1249 1250 XOR 2 1 1243 1250 1251 XOR 2 1 1226 1251 1252 XOR 2 1 947 1231 1253 XOR 2 1 1137 1154 1254 XOR 2 1 1253 1254 1255 XOR 2 1 998 1240 1256 XOR 2 1 827 902 1257 AND 2 1 823 1257 1258 XOR 2 1 648 1258 1259 XOR 2 1 1205 1259 1260 XOR 2 1 881 1260 1261 XOR 2 1 1074 1261 1262 XOR 2 1 1163 1262 1263 XOR 2 1 1256 1263 1264 XOR 2 1 1255 1264 1265 AND 2 1 1017 1248 1266 XOR 2 1 1087 1094 1267 XOR 2 1 1176 1267 1268 XOR 2 1 1266 1268 1269 XOR 2 1 1265 1269 1270 XOR 2 1 521 1221 1271 XOR 2 1 662 1271 1272 XOR 2 1 1100 1272 1273 XOR 2 1 764 1229 1274 XOR 2 1 800 1274 1275 XOR 2 1 1273 1275 1276 XOR 2 1 923 1276 1277 XOR 2 1 812 890 1278 AND 2 1 806 1278 1279 XOR 2 1 1237 1279 1280 XOR 2 1 605 1280 1281 XOR 2 1 956 1281 1282 XOR 2 1 1113 1282 1283 XOR 2 1 792 845 1284 AND 2 1 788 1284 1285 XOR 2 1 1258 1285 1286 XOR 2 1 694 1286 1287 XOR 2 1 986 1287 1288 XOR 2 1 1124 1288 1289 XOR 2 1 504 710 1290 AND 2 1 498 1290 1291 XOR 2 1 956 1291 1292 XOR 2 1 1238 1292 1293 XOR 2 1 853 1293 1294 XOR 2 1 1289 1294 1295 XOR 2 1 1283 1295 1296 XOR 2 1 1277 1296 1297 AND 2 1 815 1136 1298 XOR 2 1 761 828 1299 XOR 2 1 984 1299 1300 XOR 2 1 1143 1300 1301 XOR 2 1 505 1246 1302 XOR 2 1 851 1302 1303 XOR 2 1 1301 1303 1304 XOR 2 1 1298 1304 1305 XOR 2 1 1297 1305 1306 XOR 2 1 1270 1306 1307 XOR 2 1 1252 1307 1308 XOR 2 1 1183 1308 1309 XOR 2 1 1152 1309 1310 XOR 2 1 662 1060 1311 XOR 2 1 911 1311 1312 XOR 2 1 902 1312 1313 XOR 2 1 1034 1215 1314 XOR 2 1 872 1314 1315 XOR 2 1 1313 1315 1316 XOR 2 1 922 1316 1317 XOR 2 1 1180 1317 1318 XOR 1 1 1318 1319 INV 2 1 451 984 1320 XOR 2 1 568 1320 1321 XOR 2 1 1069 1321 1322 XOR 2 1 784 1082 1323 XOR 2 1 827 1323 1324 XOR 2 1 1322 1324 1325 XOR 2 1 815 1325 1326 XOR 2 1 559 1326 1327 XOR 2 1 1319 1327 1328 AND 2 1 1127 1160 1329 XOR 2 1 841 1329 1330 XOR 2 1 904 1330 1331 XOR 2 1 1033 1067 1332 AND 2 1 1027 1332 1333 XOR 2 1 1291 1333 1334 XOR 2 1 1193 1334 1335 XOR 2 1 1110 1335 1336 XOR 2 1 1331 1336 1337 XOR 2 1 897 1337 1338 XOR 2 1 1170 1338 1339 XOR 2 1 1328 1339 1340 XOR 2 1 939 1272 1341 XOR 2 1 1024 1341 1342 XOR 2 1 1220 1342 1343 XOR 2 1 1009 1300 1344 XOR 2 1 1080 1344 1345 XOR 2 1 819 1345 1346 XOR 2 1 1343 1346 1347 AND 2 1 959 1192 1348 XOR 2 1 1209 1348 1349 XOR 2 1 1127 1349 1350 XOR 2 1 555 982 1351 AND 2 1 549 1351 1352 XOR 2 1 604 1352 1353 XOR 2 1 737 1279 1354 XOR 2 1 1353 1354 1355 XOR 2 1 1291 1355 1356 XOR 2 1 1350 1356 1357 XOR 2 1 1121 1204 1358 XOR 2 1 1167 1358 1359 XOR 2 1 879 1359 1360 XOR 2 1 1357 1360 1361 XOR 2 1 1208 1361 1362 XOR 2 1 1347 1362 1363 XOR 2 1 555 617 1364 XOR 2 1 769 1364 1365 XOR 2 1 1033 1365 1366 XOR 2 1 1218 1366 1367 XOR 2 1 1094 1367 1368 XOR 2 1 1245 1368 1369 XOR 2 1 1039 1188 1370 XOR 2 1 944 1370 1371 XOR 2 1 1369 1371 1372 AND 2 1 619 1352 1373 XOR 2 1 738 1373 1374 XOR 2 1 1333 1374 1375 XOR 2 1 1198 1375 1376 XOR 2 1 1261 1376 1377 XOR 2 1 1235 1377 1378 XOR 2 1 1372 1378 1379 XOR 2 1 1363 1379 1380 XOR 2 1 1098 1223 1381 XOR 2 1 1179 1381 1382 XOR 2 1 1267 1382 1383 XOR 2 1 830 1140 1384 XOR 2 1 558 1384 1385 XOR 2 1 1040 1385 1386 XOR 2 1 1383 1386 1387 AND 2 1 613 1333 1388 XOR 2 1 1354 1388 1389 XOR 2 1 1192 1389 1390 XOR 2 1 1211 1390 1391 XOR 2 1 1169 1391 1392 XOR 2 1 1262 1392 1393 XOR 2 1 1387 1393 1394 XOR 2 1 772 1248 1395 XOR 2 1 1092 1320 1396 XOR 2 1 892 1396 1397 XOR 2 1 1395 1397 1398 XOR 2 1 1301 1398 1399 XOR 2 1 1037 1364 1400 XOR 2 1 812 1400 1401 XOR 2 1 1253 1401 1402 XOR 2 1 1101 1402 1403 XOR 2 1 1399 1403 1404 AND 2 1 747 1240 1405 XOR 2 1 451 617 1406 AND 2 1 447 1406 1407 XOR 2 1 986 1407 1408 XOR 2 1 1259 1408 1409 XOR 2 1 894 1409 1410 XOR 2 1 1405 1410 1411 XOR 2 1 1289 1411 1412 XOR 2 1 1404 1412 1413 XOR 2 1 1394 1413 1414 XOR 2 1 1380 1414 1415 XOR 2 1 1340 1415 1416 XOR 2 1 1103 1179 1417 XOR 2 1 1315 1401 1418 XOR 2 1 932 1418 1419 XOR 2 1 1417 1419 1420 XOR 2 1 1085 1184 1421 XOR 2 1 868 1421 1422 XOR 2 1 1324 1422 1423 XOR 2 1 818 1423 1424 XOR 2 1 1156 1424 1425 XOR 2 1 1420 1425 1426 AND 2 1 459 623 1427 AND 2 1 455 1427 1428 XOR 2 1 702 1428 1429 XOR 2 1 665 1429 1430 XOR 2 1 1204 1430 1431 XOR 2 1 1169 1431 1432 XOR 2 1 1106 1373 1433 XOR 2 1 1279 1433 1434 XOR 2 1 1336 1434 1435 XOR 2 1 1207 1435 1436 XOR 2 1 1432 1436 1437 XOR 2 1 1426 1437 1438 XOR 2 1 949 1019 1439 AND 2 1 783 838 1440 AND 2 1 779 1440 1441 XOR 2 1 708 1441 1442 XOR 2 1 860 1442 1443 XOR 2 1 1428 1443 1444 XOR 2 1 1207 1444 1445 XOR 2 1 834 951 1446 XOR 2 1 1128 1446 1447 XOR 2 1 1044 1447 1448 XOR 2 1 1356 1448 1449 XOR 2 1 859 1285 1450 XOR 2 1 1429 1450 1451 XOR 2 1 1201 1451 1452 XOR 2 1 1449 1452 1453 XOR 2 1 1445 1453 1454 XOR 2 1 1439 1454 1455 XOR 2 1 1313 1366 1456 XOR 2 1 919 1456 1457 XOR 2 1 768 1457 1458 XOR 2 1 1187 1322 1459 XOR 2 1 1136 1459 1460 XOR 2 1 1228 1460 1461 XOR 2 1 1458 1461 1462 AND 2 1 1331 1375 1463 XOR 2 1 877 1463 1464 XOR 2 1 731 1464 1465 XOR 2 1 1462 1465 1466 XOR 2 1 1455 1466 1467 XOR 2 1 1105 1148 1468 AND 2 1 877 1261 1469 XOR 2 1 905 1446 1470 XOR 2 1 1352 1470 1471 XOR 2 1 1390 1471 1472 XOR 2 1 1431 1472 1473 XOR 2 1 1469 1473 1474 XOR 2 1 1468 1474 1475 XOR 2 1 915 1084 1476 XOR 2 1 1344 1476 1477 XOR 2 1 579 1231 1478 XOR 2 1 1134 1311 1479 XOR 2 1 677 1479 1480 XOR 2 1 1478 1480 1481 XOR 2 1 1273 1481 1482 XOR 2 1 1477 1482 1483 AND 2 1 980 1288 1484 XOR 2 1 857 1065 1485 XOR 2 1 1484 1485 1486 XOR 2 1 1483 1486 1487 XOR 2 1 1475 1487 1488 XOR 2 1 1467 1488 1489 XOR 2 1 1438 1489 1490 XOR 2 1 1416 1490 1491 XOR 2 1 1081 1324 1492 XOR 2 1 1384 1492 1493 XOR 2 1 907 1211 1494 XOR 2 1 888 1116 1495 XOR 2 1 715 1495 1496 XOR 2 1 859 1496 1497 XOR 2 1 1360 1497 1498 XOR 2 1 1331 1498 1499 XOR 2 1 1494 1499 1500 XOR 2 1 1493 1500 1501 AND 2 1 926 1223 1502 XOR 2 1 1024 1275 1503 XOR 2 1 1313 1503 1504 XOR 2 1 1502 1504 1505 XOR 2 1 1501 1505 1506 XOR 2 1 1270 1506 1507 XOR 2 1 1103 1275 1508 XOR 2 1 1401 1480 1509 XOR 2 1 935 1509 1510 XOR 2 1 1508 1510 1511 XOR 2 1 1130 1294 1512 XOR 2 1 407 591 1513 AND 2 1 403 1513 1514 XOR 2 1 727 1514 1515 XOR 2 1 1072 1515 1516 XOR 2 1 874 1516 1517 XOR 2 1 1410 1517 1518 XOR 2 1 962 1518 1519 XOR 2 1 1512 1519 1520 XOR 2 1 1511 1520 1521 AND 2 1 1146 1303 1522 XOR 2 1 1397 1422 1523 XOR 2 1 1005 1523 1524 XOR 2 1 1522 1524 1525 XOR 2 1 1521 1525 1526 XOR 2 1 1183 1526 1527 XOR 2 1 1507 1527 1528 XOR 2 1 929 1528 1529 XOR 2 1 1491 1529 1530 XOR 2 1 1310 1530 1531 XOR 2 1 391 1531 1532 XOR 2 1 246 310 1533 XOR 2 1 581 774 1534 AND 2 1 1166 1514 1535 XOR 2 1 882 1535 1536 XOR 2 1 1116 1536 1537 XOR 2 1 1407 1428 1538 XOR 2 1 1202 1538 1539 XOR 2 1 1121 1539 1540 XOR 2 1 1537 1540 1541 XOR 2 1 1292 1348 1542 XOR 2 1 995 1542 1543 XOR 2 1 1045 1353 1544 XOR 2 1 1237 1544 1545 XOR 2 1 1543 1545 1546 XOR 2 1 989 1201 1547 XOR 2 1 1358 1547 1548 XOR 2 1 1514 1548 1549 XOR 2 1 1546 1549 1550 XOR 2 1 1541 1550 1551 XOR 2 1 1534 1551 1552 XOR 2 1 749 1552 1553 AND 2 1 581 1553 1554 XOR 2 1 832 928 1555 AND 2 1 693 1441 1556 XOR 2 1 1450 1556 1557 XOR 2 1 1407 1557 1558 XOR 2 1 1549 1558 1559 XOR 2 1 954 1282 1560 XOR 2 1 1286 1538 1561 XOR 2 1 989 1561 1562 XOR 2 1 1560 1562 1563 XOR 2 1 1559 1563 1564 XOR 2 1 1555 1564 1565 XOR 2 1 909 1565 1566 AND 2 1 832 1566 1567 XOR 2 1 1000 1455 1568 AND 2 1 949 1568 1569 XOR 2 1 1567 1569 1570 XOR 2 1 1042 1090 1571 AND 2 1 1360 1452 1572 XOR 2 1 1280 1334 1573 XOR 2 1 959 1573 1574 XOR 2 1 1108 1574 1575 XOR 2 1 1540 1575 1576 XOR 2 1 1572 1576 1577 XOR 2 1 1571 1577 1578 XOR 2 1 1077 1578 1579 AND 2 1 1042 1579 1580 XOR 2 1 1132 1475 1581 AND 2 1 1105 1581 1582 XOR 2 1 1580 1582 1583 XOR 2 1 1570 1583 1584 XOR 2 1 1554 1584 1585 XOR 2 1 1158 1182 1586 AND 2 1 1117 1442 1587 XOR 2 1 1285 1587 1588 XOR 2 1 1540 1588 1589 XOR 2 1 1130 1545 1590 XOR 2 1 1558 1590 1591 XOR 2 1 1589 1591 1592 XOR 2 1 1586 1592 1593 XOR 2 1 1172 1593 1594 AND 2 1 1158 1594 1595 XOR 2 1 1190 1225 1596 AND 2 1 879 977 1597 XOR 2 1 1515 1597 1598 XOR 2 1 1062 1598 1599 XOR 2 1 1558 1599 1600 XOR 2 1 963 1119 1601 XOR 2 1 1600 1601 1602 XOR 2 1 1596 1602 1603 XOR 2 1 1213 1603 1604 AND 2 1 1190 1604 1605 XOR 2 1 1233 1250 1606 AND 2 1 1444 1537 1607 XOR 2 1 1448 1543 1608 XOR 2 1 1495 1597 1609 XOR 2 1 1441 1609 1610 XOR 2 1 1608 1610 1611 XOR 2 1 1607 1611 1612 XOR 2 1 1606 1612 1613 XOR 2 1 1242 1613 1614 AND 2 1 1233 1614 1615 XOR 2 1 1605 1615 1616 XOR 2 1 1255 1269 1617 AND 2 1 1452 1610 1618 XOR 2 1 1114 1588 1619 XOR 2 1 1618 1619 1620 XOR 2 1 1617 1620 1621 XOR 2 1 1264 1621 1622 AND 2 1 1255 1622 1623 XOR 2 1 1277 1305 1624 AND 2 1 1350 1471 1625 XOR 2 1 1497 1625 1626 XOR 2 1 898 1626 1627 XOR 2 1 1624 1627 1628 XOR 2 1 1296 1628 1629 AND 2 1 1277 1629 1630 XOR 2 1 1623 1630 1631 XOR 2 1 1616 1631 1632 XOR 2 1 1595 1632 1633 XOR 2 1 1585 1633 1634 XOR 2 1 1408 1547 1635 XOR 2 1 1071 1635 1636 XOR 2 1 1599 1636 1637 XOR 2 1 1113 1637 1638 XOR 2 1 1608 1638 1639 XOR 2 1 1458 1639 1640 AND 2 1 1461 1640 1641 XOR 2 1 1466 1641 1642 AND 2 1 1642 1639 1643 XOR 2 1 1306 1628 1644 AND 2 1 1296 1644 1645 XOR 2 1 1087 1219 1646 XOR 2 1 1014 1646 1647 XOR 2 1 1559 1574 1648 XOR 2 1 1357 1648 1649 XOR 2 1 1647 1649 1650 AND 2 1 804 1036 1651 XOR 2 1 1341 1651 1652 XOR 2 1 1650 1652 1653 XOR 2 1 1647 1652 1654 AND 2 1 1074 1199 1655 XOR 2 1 993 1655 1656 XOR 2 1 1654 1656 1657 XOR 2 1 1653 1657 1658 AND 2 1 1658 1649 1659 XOR 2 1 1645 1659 1660 XOR 2 1 767 1502 1661 XOR 2 1 1088 1661 1662 XOR 2 1 1111 1329 1663 XOR 2 1 951 1663 1664 XOR 2 1 1574 1664 1665 XOR 2 1 1122 1535 1666 XOR 2 1 977 1666 1667 XOR 2 1 1562 1667 1668 XOR 2 1 1543 1668 1669 XOR 2 1 1665 1669 1670 XOR 2 1 1662 1670 1671 AND 2 1 1036 1480 1672 XOR 2 1 416 1141 1673 XOR 2 1 971 1673 1674 XOR 2 1 830 1674 1675 XOR 2 1 523 1675 1676 XOR 2 1 1672 1676 1677 XOR 2 1 1671 1677 1678 XOR 2 1 1662 1677 1679 AND 2 1 730 1494 1680 XOR 2 1 1075 1680 1681 XOR 2 1 1679 1681 1682 XOR 2 1 1678 1682 1683 AND 2 1 1683 1670 1684 XOR 2 1 1266 1422 1685 XOR 2 1 1144 1685 1686 XOR 2 1 1336 1572 1687 XOR 2 1 1391 1687 1688 XOR 2 1 1686 1688 1689 AND 2 1 1025 1315 1690 XOR 2 1 1381 1690 1691 XOR 2 1 1689 1691 1692 XOR 2 1 1686 1691 1693 AND 2 1 1256 1517 1694 XOR 2 1 1125 1694 1695 XOR 2 1 1693 1695 1696 XOR 2 1 1692 1696 1697 AND 2 1 1697 1688 1698 XOR 2 1 1684 1698 1699 XOR 2 1 1660 1699 1700 XOR 2 1 1643 1700 1701 XOR 2 1 1063 1556 1702 XOR 2 1 1258 1702 1703 XOR 2 1 1636 1703 1704 XOR 2 1 1282 1704 1705 XOR 2 1 1546 1705 1706 XOR 2 1 1319 1706 1707 AND 2 1 1327 1707 1708 XOR 2 1 1340 1708 1709 AND 2 1 1709 1706 1710 XOR 2 1 1047 1484 1711 XOR 2 1 1560 1711 1712 XOR 2 1 1343 1712 1713 AND 2 1 1346 1713 1714 XOR 2 1 1363 1714 1715 AND 2 1 1715 1712 1716 XOR 2 1 1108 1600 1717 XOR 2 1 1449 1717 1718 XOR 2 1 1369 1718 1719 AND 2 1 1371 1719 1720 XOR 2 1 1379 1720 1721 AND 2 1 1721 1718 1722 XOR 2 1 1716 1722 1723 XOR 2 1 1119 1562 1724 XOR 2 1 1545 1724 1725 XOR 2 1 1575 1725 1726 XOR 2 1 1383 1726 1727 AND 2 1 1386 1727 1728 XOR 2 1 1394 1728 1729 AND 2 1 1729 1726 1730 XOR 2 1 1434 1618 1731 XOR 2 1 1472 1731 1732 XOR 2 1 1399 1732 1733 AND 2 1 1403 1733 1734 XOR 2 1 1413 1734 1735 AND 2 1 1735 1732 1736 XOR 2 1 1730 1736 1737 XOR 2 1 1723 1737 1738 XOR 2 1 1710 1738 1739 XOR 2 1 1701 1739 1740 XOR 2 1 1493 1505 1741 AND 2 1 1048 1703 1742 XOR 2 1 1724 1742 1743 XOR 2 1 1741 1743 1744 XOR 2 1 1500 1744 1745 AND 2 1 1493 1745 1746 XOR 2 1 1623 1746 1747 XOR 2 1 1511 1525 1748 AND 2 1 1431 1497 1749 XOR 2 1 1434 1664 1750 XOR 2 1 1444 1750 1751 XOR 2 1 1749 1751 1752 XOR 2 1 1748 1752 1753 XOR 2 1 1520 1753 1754 AND 2 1 1511 1754 1755 XOR 2 1 1595 1755 1756 XOR 2 1 1747 1756 1757 XOR 2 1 1567 1757 1758 XOR 2 1 1740 1758 1759 XOR 2 1 1634 1759 1760 XOR 2 1 1533 1760 1761 XOR 2 1 1532 1761 1762 AND 2 1 310 374 1763 XOR 2 1 1763 182 1764 XOR 2 1 1455 1565 1765 XOR 2 1 1475 1578 1766 XOR 2 1 1765 1766 1767 XOR 2 1 1552 1767 1768 XOR 2 1 1603 1613 1769 XOR 2 1 1621 1628 1770 XOR 2 1 1769 1770 1771 XOR 2 1 1593 1771 1772 XOR 2 1 1768 1772 1773 XOR 2 1 1306 1653 1774 XOR 2 1 1678 1692 1775 XOR 2 1 1774 1775 1776 XOR 2 1 1641 1776 1777 XOR 2 1 1714 1720 1778 XOR 2 1 1728 1734 1779 XOR 2 1 1778 1779 1780 XOR 2 1 1708 1780 1781 XOR 2 1 1777 1781 1782 XOR 2 1 1621 1744 1783 XOR 2 1 1593 1753 1784 XOR 2 1 1783 1784 1785 XOR 2 1 1565 1785 1786 XOR 2 1 1782 1786 1787 XOR 2 1 1773 1787 1788 XOR 2 1 1764 1788 1789 XOR 2 1 1762 1789 1790 XOR 2 1 264 328 1791 XOR 2 1 1791 136 1792 XOR 2 1 1298 1674 1793 XOR 2 1 1478 1793 1794 XOR 2 1 596 1388 1795 XOR 2 1 1195 1795 1796 XOR 2 1 1469 1796 1797 XOR 2 1 1405 1797 1798 XOR 2 1 1794 1798 1799 AND 2 1 751 1096 1800 XOR 2 1 975 1800 1801 XOR 2 1 1095 1801 1802 XOR 2 1 1395 1802 1803 XOR 2 1 1799 1803 1804 XOR 2 1 1692 1804 1805 XOR 2 1 1154 1674 1806 XOR 2 1 1187 1522 1807 XOR 2 1 1806 1807 1808 XOR 2 1 1163 1796 1809 XOR 2 1 1198 1432 1810 XOR 2 1 1809 1810 1811 XOR 2 1 1808 1811 1812 AND 2 1 1176 1801 1813 XOR 2 1 1218 1417 1814 XOR 2 1 1813 1814 1815 XOR 2 1 1812 1815 1816 XOR 2 1 435 1672 1817 XOR 2 1 1503 1817 1818 XOR 2 1 1047 1294 1819 XOR 2 1 1065 1410 1820 XOR 2 1 607 1820 1821 XOR 2 1 1819 1821 1822 XOR 2 1 1818 1822 1823 AND 2 1 1080 1303 1824 XOR 2 1 1084 1397 1825 XOR 2 1 754 1825 1826 XOR 2 1 1824 1826 1827 XOR 2 1 1823 1827 1828 XOR 2 1 1816 1828 1829 XOR 2 1 1805 1829 1830 XOR 2 1 1226 1830 1831 XOR 2 1 1529 1831 1832 XOR 2 1 926 1801 1833 XOR 2 1 763 1833 1834 XOR 2 1 1825 1834 1835 XOR 2 1 943 1806 1836 XOR 2 1 1509 1836 1837 XOR 2 1 1835 1837 1838 AND 2 1 907 1796 1839 XOR 2 1 696 1839 1840 XOR 2 1 1820 1840 1841 XOR 2 1 1838 1841 1842 XOR 2 1 1438 1842 1843 XOR 2 1 1766 1843 1844 XOR 2 1 1363 1844 1845 XOR 2 1 1682 1753 1846 XOR 2 1 1770 1846 1847 XOR 2 1 1455 1847 1848 XOR 2 1 1845 1848 1849 XOR 2 1 1009 1177 1850 XOR 2 1 1423 1850 1851 XOR 2 1 1350 1541 1852 XOR 2 1 1337 1852 1853 XOR 2 1 1851 1853 1854 AND 2 1 480 1272 1855 XOR 2 1 1316 1855 1856 XOR 2 1 1854 1856 1857 XOR 2 1 1816 1857 1858 XOR 2 1 1252 1858 1859 XOR 2 1 1506 1859 1860 XOR 2 1 1849 1860 1861 XOR 2 1 1832 1861 1862 XOR 2 1 1792 1862 1863 XOR 2 1 232 296 1864 XOR 2 1 1688 1696 1865 AND 2 1 1686 1865 1866 XOR 2 1 1794 1803 1867 AND 2 1 1549 1610 1868 XOR 2 1 1283 1667 1869 XOR 2 1 1868 1869 1870 XOR 2 1 1867 1870 1871 XOR 2 1 1798 1871 1872 AND 2 1 1794 1872 1873 XOR 2 1 1866 1873 1874 XOR 2 1 1808 1815 1875 AND 2 1 1588 1667 1876 XOR 2 1 1512 1599 1877 XOR 2 1 1876 1877 1878 XOR 2 1 1875 1878 1879 XOR 2 1 1811 1879 1880 AND 2 1 1808 1880 1881 XOR 2 1 1818 1827 1882 AND 2 1 1537 1665 1883 XOR 2 1 1498 1883 1884 XOR 2 1 1882 1884 1885 XOR 2 1 1822 1885 1886 AND 2 1 1818 1886 1887 XOR 2 1 1881 1887 1888 XOR 2 1 1874 1888 1889 XOR 2 1 1605 1889 1890 XOR 2 1 1758 1890 1891 XOR 2 1 1828 1885 1892 AND 2 1 1822 1892 1893 XOR 2 1 1730 1893 1894 XOR 2 1 1013 1813 1895 XOR 2 1 1523 1895 1896 XOR 2 1 1356 1589 1897 XOR 2 1 1435 1897 1898 XOR 2 1 1896 1898 1899 AND 2 1 939 1155 1900 XOR 2 1 1418 1900 1901 XOR 2 1 1899 1901 1902 XOR 2 1 1896 1901 1903 AND 2 1 992 1809 1904 XOR 2 1 1518 1904 1905 XOR 2 1 1903 1905 1906 XOR 2 1 1902 1906 1907 AND 2 1 1907 1898 1908 XOR 2 1 1710 1908 1909 XOR 2 1 1894 1909 1910 XOR 2 1 1645 1910 1911 XOR 2 1 1091 1578 1912 AND 2 1 1077 1912 1913 XOR 2 1 1149 1475 1914 AND 2 1 1132 1914 1915 XOR 2 1 1913 1915 1916 XOR 2 1 1517 1703 1917 XOR 2 1 954 1917 1918 XOR 2 1 1590 1918 1919 XOR 2 1 1420 1919 1920 AND 2 1 1425 1920 1921 XOR 2 1 1438 1921 1922 AND 2 1 1922 1919 1923 XOR 2 1 1448 1876 1924 XOR 2 1 1750 1924 1925 XOR 2 1 1835 1925 1926 AND 2 1 1837 1926 1927 XOR 2 1 1842 1927 1928 AND 2 1 1928 1925 1929 XOR 2 1 1923 1929 1930 XOR 2 1 1916 1930 1931 XOR 2 1 1716 1931 1932 XOR 2 1 1911 1932 1933 XOR 2 1 1851 1856 1934 AND 2 1 980 1164 1935 XOR 2 1 1917 1935 1936 XOR 2 1 1934 1936 1937 XOR 2 1 1853 1937 1938 AND 2 1 1851 1938 1939 XOR 2 1 1881 1939 1940 XOR 2 1 1616 1940 1941 XOR 2 1 1746 1941 1942 XOR 2 1 1933 1942 1943 XOR 2 1 1891 1943 1944 XOR 2 1 1864 1944 1945 XOR 2 1 1863 1945 1946 AND 2 1 296 360 1947 XOR 2 1 1947 168 1948 XOR 2 1 1696 1871 1949 XOR 2 1 1879 1885 1950 XOR 2 1 1949 1950 1951 XOR 2 1 1603 1951 1952 XOR 2 1 1786 1952 1953 XOR 2 1 1728 1828 1954 XOR 2 1 1708 1902 1955 XOR 2 1 1954 1955 1956 XOR 2 1 1306 1956 1957 XOR 2 1 1921 1927 1958 XOR 2 1 1150 1958 1959 XOR 2 1 1714 1959 1960 XOR 2 1 1957 1960 1961 XOR 2 1 1879 1937 1962 XOR 2 1 1769 1962 1963 XOR 2 1 1744 1963 1964 XOR 2 1 1961 1964 1965 XOR 2 1 1953 1965 1966 XOR 2 1 1948 1966 1967 XOR 2 1 1946 1967 1968 XOR 2 1 276 340 1969 XOR 2 1 1969 148 1970 XOR 2 1 1526 1678 1971 XOR 2 1 1307 1971 1972 XOR 2 1 1020 1972 1973 XOR 2 1 1714 1804 1974 XOR 2 1 1954 1974 1975 XOR 2 1 1251 1975 1976 XOR 2 1 1973 1976 1977 XOR 2 1 1744 1842 1978 XOR 2 1 1488 1978 1979 XOR 2 1 1379 1979 1980 XOR 2 1 1628 1657 1981 XOR 2 1 1682 1696 1982 XOR 2 1 1981 1982 1983 XOR 2 1 1466 1983 1984 XOR 2 1 1980 1984 1985 XOR 2 1 1251 1708 1986 XOR 2 1 1829 1986 1987 XOR 2 1 1270 1987 1988 XOR 2 1 1985 1988 1989 XOR 2 1 1977 1989 1990 XOR 2 1 1970 1990 1991 XOR 2 1 244 308 1992 XOR 2 1 1670 1682 1993 AND 2 1 1662 1993 1994 XOR 2 1 1755 1994 1995 XOR 2 1 1631 1995 1996 XOR 2 1 1569 1996 1997 XOR 2 1 1363 1712 1998 AND 2 1 1343 1998 1999 XOR 2 1 1873 1999 2000 XOR 2 1 1394 1726 2001 AND 2 1 1383 2001 2002 XOR 2 1 1887 2002 2003 XOR 2 1 2000 2003 2004 XOR 2 1 1615 2004 2005 XOR 2 1 1997 2005 2006 XOR 2 1 1322 1824 2007 XOR 2 1 1675 2007 2008 XOR 2 1 1375 1749 2009 XOR 2 1 1839 2009 2010 XOR 2 1 2008 2010 2011 AND 2 1 1366 1508 2012 XOR 2 1 1833 2012 2013 XOR 2 1 2011 2013 2014 XOR 2 1 2008 2013 2015 AND 2 1 1636 1819 2016 XOR 2 1 1668 2016 2017 XOR 2 1 2015 2017 2018 XOR 2 1 2014 2018 2019 AND 2 1 2019 2010 2020 XOR 2 1 1908 2020 2021 XOR 2 1 1737 2021 2022 XOR 2 1 1659 2022 2023 XOR 2 1 1664 1868 2024 XOR 2 1 1625 2024 2025 XOR 2 1 1477 2025 2026 AND 2 1 1482 2026 2027 XOR 2 1 1487 2027 2028 AND 2 1 2028 2025 2029 XOR 2 1 1915 2029 2030 XOR 2 1 1506 1744 2031 AND 2 1 1500 2031 2032 XOR 2 1 1929 2032 2033 XOR 2 1 2030 2033 2034 XOR 2 1 1722 2034 2035 XOR 2 1 2023 2035 2036 XOR 2 1 1340 1706 2037 AND 2 1 1319 2037 2038 XOR 2 1 1615 2038 2039 XOR 2 1 1888 2039 2040 XOR 2 1 1623 2040 2041 XOR 2 1 2036 2041 2042 XOR 2 1 2006 2042 2043 XOR 2 1 1992 2043 2044 XOR 2 1 1991 2044 2045 AND 2 1 308 372 2046 XOR 2 1 2046 180 2047 XOR 2 1 1363 1871 2048 XOR 2 1 1394 1885 2049 XOR 2 1 2048 2049 2050 XOR 2 1 1613 2050 2051 XOR 2 1 1848 2051 2052 XOR 2 1 1902 2014 2053 XOR 2 1 1779 2053 2054 XOR 2 1 1653 2054 2055 XOR 2 1 1149 2027 2056 XOR 2 1 1506 1927 2057 XOR 2 1 2056 2057 2058 XOR 2 1 1720 2058 2059 XOR 2 1 2055 2059 2060 XOR 2 1 1340 1613 2061 XOR 2 1 1950 2061 2062 XOR 2 1 1621 2062 2063 XOR 2 1 2060 2063 2064 XOR 2 1 2052 2064 2065 XOR 2 1 2047 2065 2066 XOR 2 1 2045 2066 2067 XOR 2 1 1968 2067 2068 XOR 2 1 261 325 2069 XOR 2 1 2069 133 2070 XOR 2 1 1020 1641 2071 XOR 2 1 1527 2071 2072 XOR 2 1 1091 2072 2073 XOR 2 1 1988 2073 2074 XOR 2 1 759 1300 2075 XOR 2 1 1325 2075 2076 XOR 2 1 1100 1227 2077 XOR 2 1 1456 2077 2078 XOR 2 1 2076 2078 2079 AND 2 1 642 1288 2080 XOR 2 1 1704 2080 2081 XOR 2 1 2079 2081 2082 XOR 2 1 1379 2082 2083 XOR 2 1 1843 2083 2084 XOR 2 1 1394 2084 2085 XOR 2 1 1466 1937 2086 XOR 2 1 1846 2086 2087 XOR 2 1 1475 2087 2088 XOR 2 1 2085 2088 2089 XOR 2 1 1309 2089 2090 XOR 2 1 2074 2090 2091 XOR 2 1 2070 2091 2092 XOR 2 1 229 293 2093 XOR 2 1 1466 1639 2094 AND 2 1 1458 2094 2095 XOR 2 1 1569 2095 2096 XOR 2 1 1756 2096 2097 XOR 2 1 1580 2097 2098 XOR 2 1 2041 2098 2099 XOR 2 1 1143 1244 2100 XOR 2 1 1459 2100 2101 XOR 2 1 1390 1445 2102 XOR 2 1 1376 2102 2103 XOR 2 1 2101 2103 2104 AND 2 1 936 1098 2105 XOR 2 1 1367 2105 2106 XOR 2 1 2104 2106 2107 XOR 2 1 2101 2106 2108 AND 2 1 1124 1234 2109 XOR 2 1 1637 2109 2110 XOR 2 1 2108 2110 2111 XOR 2 1 2107 2111 2112 AND 2 1 2112 2103 2113 XOR 2 1 1659 2113 2114 XOR 2 1 1909 2114 2115 XOR 2 1 1684 2115 2116 XOR 2 1 1471 1607 2117 XOR 2 1 1463 2117 2118 XOR 2 1 2076 2118 2119 AND 2 1 2078 2119 2120 XOR 2 1 2082 2120 2121 AND 2 1 2121 2118 2122 XOR 2 1 1722 2122 2123 XOR 2 1 1930 2123 2124 XOR 2 1 1730 2124 2125 XOR 2 1 2116 2125 2126 XOR 2 1 1633 2126 2127 XOR 2 1 2099 2127 2128 XOR 2 1 2093 2128 2129 XOR 2 1 2092 2129 2130 AND 2 1 293 357 2131 XOR 2 1 2131 165 2132 XOR 2 1 1467 1784 2133 XOR 2 1 1578 2133 2134 XOR 2 1 2063 2134 2135 XOR 2 1 1653 2107 2136 XOR 2 1 1955 2136 2137 XOR 2 1 1678 2137 2138 XOR 2 1 1720 2120 2139 XOR 2 1 1958 2139 2140 XOR 2 1 1728 2140 2141 XOR 2 1 2138 2141 2142 XOR 2 1 1772 2142 2143 XOR 2 1 2135 2143 2144 XOR 2 1 2132 2144 2145 XOR 2 1 2130 2145 2146 XOR 2 1 273 337 2147 XOR 2 1 2147 145 2148 XOR 2 1 1641 1857 2149 XOR 2 1 1971 2149 2150 XOR 2 1 1149 2150 2151 XOR 2 1 1957 2151 2152 XOR 2 1 1593 2082 2153 XOR 2 1 1978 2153 2154 XOR 2 1 1413 2154 2155 XOR 2 1 1962 1982 2156 XOR 2 1 1487 2156 2157 XOR 2 1 2155 2157 2158 XOR 2 1 1774 1986 2159 XOR 2 1 1526 2159 2160 XOR 2 1 2158 2160 2161 XOR 2 1 2152 2161 2162 XOR 2 1 2148 2162 2163 XOR 2 1 241 305 2164 XOR 2 1 1939 2095 2165 XOR 2 1 1995 2165 2166 XOR 2 1 1582 2166 2167 XOR 2 1 1898 1906 2168 AND 2 1 1896 2168 2169 XOR 2 1 2038 2169 2170 XOR 2 1 2003 2170 2171 XOR 2 1 1630 2171 2172 XOR 2 1 2167 2172 2173 XOR 2 1 775 1552 2174 AND 2 1 749 2174 2175 XOR 2 1 2113 2175 2176 XOR 2 1 2021 2176 2177 XOR 2 1 1698 2177 2178 XOR 2 1 1183 1593 2179 AND 2 1 1172 2179 2180 XOR 2 1 2122 2180 2181 XOR 2 1 2033 2181 2182 XOR 2 1 1736 2182 2183 XOR 2 1 2178 2183 2184 XOR 2 1 1649 1657 2185 AND 2 1 1647 2185 2186 XOR 2 1 1630 2186 2187 XOR 2 1 2039 2187 2188 XOR 2 1 1755 2188 2189 XOR 2 1 2184 2189 2190 XOR 2 1 2173 2190 2191 XOR 2 1 2164 2191 2192 XOR 2 1 2163 2192 2193 AND 2 1 305 369 2194 XOR 2 1 2194 177 2195 XOR 2 1 1340 1906 2196 XOR 2 1 2049 2196 2197 XOR 2 1 1628 2197 2198 XOR 2 1 2088 2198 2199 XOR 2 1 775 2107 2200 XOR 2 1 2053 2200 2201 XOR 2 1 1692 2201 2202 XOR 2 1 1183 2120 2203 XOR 2 1 2057 2203 2204 XOR 2 1 1734 2204 2205 XOR 2 1 2202 2205 2206 XOR 2 1 1981 2061 2207 XOR 2 1 1753 2207 2208 XOR 2 1 2206 2208 2209 XOR 2 1 2199 2209 2210 XOR 2 1 2195 2210 2211 XOR 2 1 2193 2211 2212 XOR 2 1 2146 2212 2213 XOR 2 1 2068 2213 2214 XOR 2 1 1790 2214 2215 XOR 2 1 279 343 2216 XOR 2 1 2216 151 2217 XOR 2 1 1974 2200 2218 XOR 2 1 1816 2218 2219 XOR 2 1 1309 2219 2220 XOR 2 1 1490 2208 2221 XOR 2 1 1831 2221 2222 XOR 2 1 2220 2222 2223 XOR 2 1 2217 2223 2224 XOR 2 1 247 311 2225 XOR 2 1 2103 2111 2226 AND 2 1 2101 2226 2227 XOR 2 1 1554 2227 2228 XOR 2 1 2000 2228 2229 XOR 2 1 1881 2229 2230 XOR 2 1 1633 2230 2231 XOR 2 1 1020 1455 2232 AND 2 1 1000 2232 2233 XOR 2 1 1643 2233 2234 XOR 2 1 2030 2234 2235 XOR 2 1 1923 2235 2236 XOR 2 1 1739 2236 2237 XOR 2 1 1890 2237 2238 XOR 2 1 2231 2238 2239 XOR 2 1 2225 2239 2240 XOR 2 1 2224 2240 2241 AND 2 1 311 375 2242 XOR 2 1 2242 183 2243 XOR 2 1 1552 2111 2244 XOR 2 1 2048 2244 2245 XOR 2 1 1879 2245 2246 XOR 2 1 1772 2246 2247 XOR 2 1 2056 2071 2248 XOR 2 1 1921 2248 2249 XOR 2 1 1781 2249 2250 XOR 2 1 1952 2250 2251 XOR 2 1 2247 2251 2252 XOR 2 1 2243 2252 2253 XOR 2 1 2241 2253 2254 XOR 2 1 265 329 2255 XOR 2 1 2255 137 2256 XOR 2 1 929 1734 2257 XOR 2 1 1091 2014 2258 XOR 2 1 2257 2258 2259 XOR 2 1 2107 2259 2260 XOR 2 1 1831 2260 2261 XOR 2 1 2052 2202 2262 XOR 2 1 2261 2262 2263 XOR 2 1 2256 2263 2264 XOR 2 1 233 297 2265 XOR 2 1 1413 1732 2266 AND 2 1 1399 2266 2267 XOR 2 1 1567 2267 2268 XOR 2 1 2010 2018 2269 AND 2 1 2008 2269 2270 XOR 2 1 1580 2270 2271 XOR 2 1 2268 2271 2272 XOR 2 1 2227 2272 2273 XOR 2 1 1890 2273 2274 XOR 2 1 1270 1621 2275 AND 2 1 1264 2275 2276 XOR 2 1 1645 2276 2277 XOR 2 1 1526 1753 2278 AND 2 1 1520 2278 2279 XOR 2 1 1684 2279 2280 XOR 2 1 2277 2280 2281 XOR 2 1 2233 2281 2282 XOR 2 1 1932 2282 2283 XOR 2 1 2169 2270 2284 XOR 2 1 2228 2284 2285 XOR 2 1 1866 2285 2286 XOR 2 1 2283 2286 2287 XOR 2 1 2274 2287 2288 XOR 2 1 2265 2288 2289 XOR 2 1 2264 2289 2290 AND 2 1 297 361 2291 XOR 2 1 2291 169 2292 XOR 2 1 1413 1565 2293 XOR 2 1 1578 2018 2294 XOR 2 1 2293 2294 2295 XOR 2 1 2111 2295 2296 XOR 2 1 1952 2296 2297 XOR 2 1 1960 1973 2298 XOR 2 1 1906 2018 2299 XOR 2 1 2244 2299 2300 XOR 2 1 1696 2300 2301 XOR 2 1 2298 2301 2302 XOR 2 1 2297 2302 2303 XOR 2 1 2292 2303 2304 XOR 2 1 2290 2304 2305 XOR 2 1 277 341 2306 XOR 2 1 2306 149 2307 XOR 2 1 1152 1976 2308 XOR 2 1 1416 1984 2309 XOR 2 1 775 1921 2310 XOR 2 1 2258 2310 2311 XOR 2 1 1804 2311 2312 XOR 2 1 2309 2312 2313 XOR 2 1 2308 2313 2314 XOR 2 1 2307 2314 2315 XOR 2 1 245 309 2316 XOR 2 1 1585 2005 2317 XOR 2 1 1701 2035 2318 XOR 2 1 1438 1919 2319 AND 2 1 1420 2319 2320 XOR 2 1 1554 2320 2321 XOR 2 1 2271 2321 2322 XOR 2 1 1873 2322 2323 XOR 2 1 2318 2323 2324 XOR 2 1 2317 2324 2325 XOR 2 1 2316 2325 2326 XOR 2 1 2315 2326 2327 AND 2 1 309 373 2328 XOR 2 1 2328 181 2329 XOR 2 1 1768 2051 2330 XOR 2 1 1777 2059 2331 XOR 2 1 1438 1552 2332 XOR 2 1 2294 2332 2333 XOR 2 1 1871 2333 2334 XOR 2 1 2331 2334 2335 XOR 2 1 2330 2335 2336 XOR 2 1 2329 2336 2337 XOR 2 1 2327 2337 2338 XOR 2 1 2305 2338 2339 XOR 2 1 262 326 2340 XOR 2 1 2340 134 2341 XOR 2 1 1988 2312 2342 XOR 2 1 2199 2219 2343 XOR 2 1 2342 2343 2344 XOR 2 1 2341 2344 2345 XOR 2 1 230 294 2346 XOR 2 1 2041 2323 2347 XOR 2 1 1857 1937 2348 AND 2 1 1853 2348 2349 XOR 2 1 1643 2349 2350 XOR 2 1 2280 2350 2351 XOR 2 1 1915 2351 2352 XOR 2 1 2125 2352 2353 XOR 2 1 2230 2353 2354 XOR 2 1 2347 2354 2355 XOR 2 1 2346 2355 2356 XOR 2 1 2345 2356 2357 AND 2 1 294 358 2358 XOR 2 1 2358 166 2359 XOR 2 1 2063 2334 2360 XOR 2 1 2141 2151 2361 XOR 2 1 2246 2361 2362 XOR 2 1 2360 2362 2363 XOR 2 1 2359 2363 2364 XOR 2 1 2357 2364 2365 XOR 2 1 274 338 2366 XOR 2 1 2366 146 2367 XOR 2 1 1414 2299 2368 XOR 2 1 1657 2368 2369 XOR 2 1 2157 2369 2370 XOR 2 1 1778 2310 2371 XOR 2 1 1828 2371 2372 XOR 2 1 2370 2372 2373 XOR 2 1 1961 2373 2374 XOR 2 1 2367 2374 2375 XOR 2 1 242 306 2376 XOR 2 1 1842 1925 2377 AND 2 1 1835 2377 2378 XOR 2 1 2320 2378 2379 XOR 2 1 1583 2379 2380 XOR 2 1 1999 2380 2381 XOR 2 1 2172 2381 2382 XOR 2 1 1816 1879 2383 AND 2 1 1811 2383 2384 XOR 2 1 2349 2384 2385 XOR 2 1 1699 2385 2386 XOR 2 1 2029 2386 2387 XOR 2 1 2183 2387 2388 XOR 2 1 1379 1718 2389 AND 2 1 1369 2389 2390 XOR 2 1 1999 2390 2391 XOR 2 1 2321 2391 2392 XOR 2 1 1887 2392 2393 XOR 2 1 2388 2393 2394 XOR 2 1 2382 2394 2395 XOR 2 1 2376 2395 2396 XOR 2 1 2375 2396 2397 AND 2 1 306 370 2398 XOR 2 1 2398 178 2399 XOR 2 1 1845 2198 2400 XOR 2 1 1775 1858 2401 XOR 2 1 2027 2401 2402 XOR 2 1 2205 2402 2403 XOR 2 1 1380 2332 2404 XOR 2 1 1885 2404 2405 XOR 2 1 2403 2405 2406 XOR 2 1 2400 2406 2407 XOR 2 1 2399 2407 2408 XOR 2 1 2397 2408 2409 XOR 2 1 2365 2409 2410 XOR 2 1 2339 2410 2411 XOR 2 1 2254 2411 2412 XOR 2 1 2215 2412 2413 XOR 2 1 257 321 2414 XOR 2 1 2414 129 2415 XOR 2 1 1657 2111 2416 XOR 2 1 1949 2416 2417 XOR 2 1 1937 2417 2418 XOR 2 1 2083 2293 2419 XOR 2 1 1906 2419 2420 XOR 2 1 2418 2420 2421 XOR 2 1 1960 2421 2422 XOR 2 1 2250 2422 2423 XOR 2 1 2415 2423 2424 XOR 2 1 289 353 2425 XOR 2 1 2425 161 2426 XOR 2 1 1226 2027 2427 XOR 2 1 1507 2427 2428 XOR 2 1 2120 2428 2429 XOR 2 1 1805 2136 2430 XOR 2 1 1857 2430 2431 XOR 2 1 2429 2431 2432 XOR 2 1 1845 2432 2433 XOR 2 1 1491 2433 2434 XOR 2 1 2426 2434 2435 XOR 2 1 2424 2435 2436 AND 2 1 193 257 2437 XOR 2 1 2186 2227 2438 XOR 2 1 1874 2438 2439 XOR 2 1 1939 2439 2440 XOR 2 1 2082 2118 2441 AND 2 1 2076 2441 2442 XOR 2 1 2390 2442 2443 XOR 2 1 2268 2443 2444 XOR 2 1 2169 2444 2445 XOR 2 1 2440 2445 2446 XOR 2 1 1932 2446 2447 XOR 2 1 2237 2447 2448 XOR 2 1 2437 2448 2449 XOR 2 1 2436 2449 2450 XOR 2 1 275 339 2451 XOR 2 1 2451 147 2452 XOR 2 1 1980 2369 2453 XOR 2 1 2073 2453 2454 XOR 2 1 2298 2454 2455 XOR 2 1 2452 2455 2456 XOR 2 1 307 371 2457 XOR 2 1 2457 179 2458 XOR 2 1 2055 2402 2459 XOR 2 1 2134 2459 2460 XOR 2 1 1849 2460 2461 XOR 2 1 2458 2461 2462 XOR 2 1 2456 2462 2463 AND 2 1 211 275 2464 XOR 2 1 2002 2267 2465 XOR 2 1 2284 2465 2466 XOR 2 1 2186 2466 2467 XOR 2 1 1487 2025 2468 AND 2 1 1477 2468 2469 XOR 2 1 1582 2469 2470 XOR 2 1 1746 2378 2471 XOR 2 1 2470 2471 2472 XOR 2 1 2390 2472 2473 XOR 2 1 2467 2473 2474 XOR 2 1 2180 2279 2475 XOR 2 1 2234 2475 2476 XOR 2 1 1913 2476 2477 XOR 2 1 2474 2477 2478 XOR 2 1 2283 2478 2479 XOR 2 1 2464 2479 2480 XOR 2 1 2463 2480 2481 XOR 2 1 287 351 2482 XOR 2 1 2482 159 2483 XOR 2 1 1487 1603 2484 XOR 2 1 1783 2484 2485 XOR 2 1 2082 2485 2486 XOR 2 1 2296 2486 2487 XOR 2 1 2151 2487 2488 XOR 2 1 2331 2488 2489 XOR 2 1 2483 2489 2490 XOR 2 1 319 383 2491 XOR 2 1 2491 191 2492 XOR 2 1 2088 2261 2493 XOR 2 1 1985 2493 2494 XOR 2 1 2492 2494 2495 XOR 2 1 2490 2495 2496 AND 2 1 223 287 2497 XOR 2 1 1605 2469 2498 XOR 2 1 1747 2498 2499 XOR 2 1 2442 2499 2500 XOR 2 1 2273 2500 2501 XOR 2 1 2352 2501 2502 XOR 2 1 2318 2502 2503 XOR 2 1 2497 2503 2504 XOR 2 1 2496 2504 2505 XOR 2 1 2481 2505 2506 XOR 2 1 272 336 2507 XOR 2 1 2507 144 2508 XOR 2 1 2155 2301 2509 XOR 2 1 2249 2509 2510 XOR 2 1 2361 2510 2511 XOR 2 1 2508 2511 2512 XOR 2 1 304 368 2513 XOR 2 1 2513 176 2514 XOR 2 1 1860 2202 2515 XOR 2 1 1490 2515 2516 XOR 2 1 2089 2516 2517 XOR 2 1 2514 2517 2518 XOR 2 1 2512 2518 2519 AND 2 1 208 272 2520 XOR 2 1 1595 2442 2521 XOR 2 1 2471 2521 2522 XOR 2 1 2267 2522 2523 XOR 2 1 2286 2523 2524 XOR 2 1 2236 2524 2525 XOR 2 1 2353 2525 2526 XOR 2 1 2520 2526 2527 XOR 2 1 2519 2527 2528 XOR 2 1 1786 2334 2529 XOR 2 1 2149 2427 2530 XOR 2 1 1927 2530 2531 XOR 2 1 2529 2531 2532 XOR 2 1 2403 2532 2533 XOR 2 1 387 2533 2534 XOR 2 1 316 380 2535 XOR 2 1 2535 188 2536 XOR 2 1 2086 2484 2537 XOR 2 1 1842 2537 2538 XOR 2 1 2342 2538 2539 XOR 2 1 2158 2539 2540 XOR 2 1 2536 2540 2541 XOR 2 1 2534 2541 2542 AND 2 1 220 284 2543 XOR 2 1 1758 2323 2544 XOR 2 1 1226 1603 2545 AND 2 1 1213 2545 2546 XOR 2 1 2029 2546 2547 XOR 2 1 2350 2547 2548 XOR 2 1 1929 2548 2549 XOR 2 1 2544 2549 2550 XOR 2 1 2388 2550 2551 XOR 2 1 2543 2551 2552 XOR 2 1 2542 2552 2553 XOR 2 1 2528 2553 2554 XOR 2 1 2506 2554 2555 XOR 2 1 2450 2555 2556 XOR 2 1 258 322 2557 XOR 2 1 2557 130 2558 XOR 2 1 2160 2249 2559 XOR 2 1 2420 2538 2560 XOR 2 1 1973 2560 2561 XOR 2 1 2559 2561 2562 XOR 2 1 2558 2562 2563 XOR 2 1 290 354 2564 XOR 2 1 2564 162 2565 XOR 2 1 2139 2257 2566 XOR 2 1 1902 2566 2567 XOR 2 1 2431 2567 2568 XOR 2 1 1848 2568 2569 XOR 2 1 2221 2569 2570 XOR 2 1 2565 2570 2571 XOR 2 1 2563 2571 2572 AND 2 1 194 258 2573 XOR 2 1 1251 1613 2574 AND 2 1 1242 2574 2575 XOR 2 1 1710 2575 2576 XOR 2 1 1660 2576 2577 XOR 2 1 2279 2577 2578 XOR 2 1 2236 2578 2579 XOR 2 1 2165 2498 2580 XOR 2 1 2378 2580 2581 XOR 2 1 2445 2581 2582 XOR 2 1 2282 2582 2583 XOR 2 1 2579 2583 2584 XOR 2 1 2573 2584 2585 XOR 2 1 2572 2585 2586 XOR 2 1 1991 2066 2587 AND 2 1 212 276 2588 XOR 2 1 1804 1871 2589 AND 2 1 1798 2589 2590 XOR 2 1 1716 2590 2591 XOR 2 1 1894 2591 2592 XOR 2 1 2575 2592 2593 XOR 2 1 2282 2593 2594 XOR 2 1 1866 1994 2595 XOR 2 1 2187 2595 2596 XOR 2 1 2095 2596 2597 XOR 2 1 2473 2597 2598 XOR 2 1 1893 2384 2599 XOR 2 1 2576 2599 2600 XOR 2 1 2276 2600 2601 XOR 2 1 2598 2601 2602 XOR 2 1 2594 2602 2603 XOR 2 1 2588 2603 2604 XOR 2 1 2587 2604 2605 XOR 2 1 256 320 2606 XOR 2 1 2606 128 2607 XOR 2 1 2418 2486 2608 XOR 2 1 1957 2608 2609 XOR 2 1 1782 2609 2610 XOR 2 1 2607 2610 2611 XOR 2 1 288 352 2612 XOR 2 1 2612 160 2613 XOR 2 1 2260 2429 2614 XOR 2 1 2198 2614 2615 XOR 2 1 2309 2615 2616 XOR 2 1 2613 2616 2617 XOR 1 1 2617 2618 INV 2 1 2611 2618 2619 AND 2 1 192 256 2620 XOR 2 1 2440 2500 2621 XOR 2 1 1911 2621 2622 XOR 2 1 1740 2622 2623 XOR 2 1 2620 2623 2624 XOR 2 1 2619 2624 2625 XOR 2 1 2605 2625 2626 XOR 2 1 2163 2211 2627 AND 2 1 209 273 2628 XOR 2 1 1911 2352 2629 XOR 2 1 1940 2595 2630 XOR 2 1 2469 2630 2631 XOR 2 1 2523 2631 2632 XOR 2 1 2578 2632 2633 XOR 2 1 2629 2633 2634 XOR 2 1 2628 2634 2635 XOR 2 1 2627 2635 2636 XOR 2 1 285 349 2637 XOR 2 1 2637 157 2638 XOR 2 1 1953 2138 2639 XOR 2 1 2459 2639 2640 XOR 2 1 2638 2640 2641 XOR 2 1 317 381 2642 XOR 2 1 2642 189 2643 XOR 2 1 1529 2312 2644 XOR 2 1 2196 2416 2645 XOR 2 1 1682 2645 2646 XOR 2 1 2644 2646 2647 XOR 2 1 2370 2647 2648 XOR 2 1 2643 2648 2649 XOR 2 1 2641 2649 2650 AND 2 1 221 285 2651 XOR 2 1 2023 2387 2652 XOR 2 1 1891 2116 2653 XOR 2 1 2652 2653 2654 XOR 2 1 2651 2654 2655 XOR 2 1 2650 2655 2656 XOR 2 1 2636 2656 2657 XOR 2 1 2626 2657 2658 XOR 2 1 2586 2658 2659 XOR 2 1 2556 2659 2660 XOR 2 1 282 346 2661 XOR 2 1 2661 154 2662 XOR 2 1 2135 2431 2663 XOR 2 1 2515 2663 2664 XOR 2 1 2662 2664 2665 XOR 2 1 250 314 2666 XOR 2 1 1942 2286 2667 XOR 2 1 1923 2175 2668 XOR 2 1 1723 2668 2669 XOR 2 1 1893 2669 2670 XOR 2 1 2477 2670 2671 XOR 2 1 2440 2671 2672 XOR 2 1 2667 2672 2673 XOR 2 1 2666 2673 2674 XOR 2 1 2665 2674 2675 AND 2 1 314 378 2676 XOR 2 1 2676 186 2677 XOR 2 1 1964 2301 2678 XOR 2 1 2073 2372 2679 XOR 2 1 2418 2679 2680 XOR 2 1 2678 2680 2681 XOR 2 1 2677 2681 2682 XOR 2 1 2675 2682 2683 XOR 2 1 2365 2683 2684 XOR 2 1 259 323 2685 XOR 2 1 2685 131 2686 XOR 2 1 2160 2372 2687 XOR 2 1 2538 2646 2688 XOR 2 1 1976 2688 2689 XOR 2 1 2687 2689 2690 XOR 2 1 2686 2690 2691 XOR 2 1 227 291 2692 XOR 2 1 2189 2393 2693 XOR 2 1 929 1565 2694 AND 2 1 909 2694 2695 XOR 2 1 1736 2695 2696 XOR 2 1 2123 2696 2697 XOR 2 1 1908 2697 2698 XOR 2 1 2549 2698 2699 XOR 2 1 2005 2699 2700 XOR 2 1 2693 2700 2701 XOR 2 1 2692 2701 2702 XOR 2 1 2691 2702 2703 AND 2 1 291 355 2704 XOR 2 1 2704 163 2705 XOR 2 1 2208 2405 2706 XOR 2 1 2531 2567 2707 XOR 2 1 2051 2707 2708 XOR 2 1 2706 2708 2709 XOR 2 1 2705 2709 2710 XOR 2 1 2703 2710 2711 XOR 2 1 2254 2711 2712 XOR 2 1 2684 2712 2713 XOR 2 1 1968 2713 2714 XOR 2 1 2660 2714 2715 XOR 2 1 2413 2715 2716 XOR 2 1 1532 1789 2717 AND 2 1 214 278 2718 XOR 2 1 2233 2695 2719 XOR 2 1 1916 2719 2720 XOR 2 1 2175 2720 2721 XOR 2 1 2546 2575 2722 XOR 2 1 2277 2722 2723 XOR 2 1 2180 2723 2724 XOR 2 1 2721 2724 2725 XOR 2 1 2391 2465 2726 XOR 2 1 2038 2726 2727 XOR 2 1 2096 2470 2728 XOR 2 1 2320 2728 2729 XOR 2 1 2727 2729 2730 XOR 2 1 2032 2276 2731 XOR 2 1 2475 2731 2732 XOR 2 1 2695 2732 2733 XOR 2 1 2730 2733 2734 XOR 2 1 2725 2734 2735 XOR 2 1 2718 2735 2736 XOR 2 1 2717 2736 2737 XOR 2 1 1761 2737 2738 AND 2 1 1532 2738 2739 XOR 2 1 1863 1967 2740 AND 2 1 200 264 2741 XOR 2 1 1698 2590 2742 XOR 2 1 2599 2742 2743 XOR 2 1 2546 2743 2744 XOR 2 1 2733 2744 2745 XOR 2 1 1997 2381 2746 XOR 2 1 2385 2722 2747 XOR 2 1 2032 2747 2748 XOR 2 1 2746 2748 2749 XOR 2 1 2745 2749 2750 XOR 2 1 2741 2750 2751 XOR 2 1 2740 2751 2752 XOR 2 1 1945 2752 2753 AND 2 1 1863 2753 2754 XOR 2 1 2044 2605 2755 AND 2 1 1991 2755 2756 XOR 2 1 2754 2756 2757 XOR 2 1 2092 2145 2758 AND 2 1 197 261 2759 XOR 2 1 2477 2601 2760 XOR 2 1 2379 2443 2761 XOR 2 1 2002 2761 2762 XOR 2 1 2167 2762 2763 XOR 2 1 2724 2763 2764 XOR 2 1 2760 2764 2765 XOR 2 1 2759 2765 2766 XOR 2 1 2758 2766 2767 XOR 2 1 2129 2767 2768 AND 2 1 2092 2768 2769 XOR 2 1 2192 2636 2770 AND 2 1 2163 2770 2771 XOR 2 1 2769 2771 2772 XOR 2 1 2757 2772 2773 XOR 2 1 2739 2773 2774 XOR 2 1 2224 2253 2775 AND 2 1 215 279 2776 XOR 2 1 2176 2591 2777 XOR 2 1 2384 2777 2778 XOR 2 1 2724 2778 2779 XOR 2 1 2189 2729 2780 XOR 2 1 2744 2780 2781 XOR 2 1 2779 2781 2782 XOR 2 1 2776 2782 2783 XOR 2 1 2775 2783 2784 XOR 2 1 2240 2784 2785 AND 2 1 2224 2785 2786 XOR 2 1 2264 2304 2787 AND 2 1 201 265 2788 XOR 2 1 1913 2020 2789 XOR 2 1 2696 2789 2790 XOR 2 1 2113 2790 2791 XOR 2 1 2744 2791 2792 XOR 2 1 2006 2178 2793 XOR 2 1 2792 2793 2794 XOR 2 1 2788 2794 2795 XOR 2 1 2787 2795 2796 XOR 2 1 2289 2796 2797 AND 2 1 2264 2797 2798 XOR 2 1 2315 2337 2799 AND 2 1 213 277 2800 XOR 2 1 2593 2721 2801 XOR 2 1 2597 2727 2802 XOR 2 1 2668 2789 2803 XOR 2 1 2590 2803 2804 XOR 2 1 2802 2804 2805 XOR 2 1 2801 2805 2806 XOR 2 1 2800 2806 2807 XOR 2 1 2799 2807 2808 XOR 2 1 2326 2808 2809 AND 2 1 2315 2809 2810 XOR 2 1 2798 2810 2811 XOR 2 1 2345 2364 2812 AND 2 1 198 262 2813 XOR 2 1 2601 2804 2814 XOR 2 1 2173 2778 2815 XOR 2 1 2814 2815 2816 XOR 2 1 2813 2816 2817 XOR 2 1 2812 2817 2818 XOR 2 1 2356 2818 2819 AND 2 1 2345 2819 2820 XOR 2 1 2375 2408 2821 AND 2 1 210 274 2822 XOR 2 1 2467 2631 2823 XOR 2 1 2670 2823 2824 XOR 2 1 1933 2824 2825 XOR 2 1 2822 2825 2826 XOR 2 1 2821 2826 2827 XOR 2 1 2396 2827 2828 AND 2 1 2375 2828 2829 XOR 2 1 2820 2829 2830 XOR 2 1 2811 2830 2831 XOR 2 1 2786 2831 2832 XOR 2 1 2774 2832 2833 XOR 2 1 224 288 2834 XOR 2 1 2547 2731 2835 XOR 2 1 2122 2835 2836 XOR 2 1 2791 2836 2837 XOR 2 1 2172 2837 2838 XOR 2 1 2802 2838 2839 XOR 2 1 2834 2839 2840 XOR 2 1 2611 2840 2841 AND 2 1 2841 2618 2842 XOR 2 1 2625 2842 2843 AND 2 1 2843 2840 2844 XOR 2 1 2409 2827 2845 AND 2 1 2396 2845 2846 XOR 2 1 286 350 2847 XOR 2 1 2847 158 2848 XOR 2 1 2141 2297 2849 XOR 2 1 2060 2849 2850 XOR 2 1 2848 2850 2851 XOR 2 1 254 318 2852 XOR 2 1 2745 2762 2853 XOR 2 1 2474 2853 2854 XOR 2 1 2852 2854 2855 XOR 2 1 2851 2855 2856 AND 2 1 318 382 2857 XOR 2 1 2857 190 2858 XOR 2 1 1832 2085 2859 XOR 2 1 2453 2859 2860 XOR 2 1 2858 2860 2861 XOR 2 1 2856 2861 2862 XOR 2 1 2851 2861 2863 AND 2 1 222 286 2864 XOR 2 1 2125 2274 2865 XOR 2 1 2036 2865 2866 XOR 2 1 2864 2866 2867 XOR 2 1 2863 2867 2868 XOR 2 1 2862 2868 2869 AND 2 1 2869 2855 2870 XOR 2 1 2846 2870 2871 XOR 2 1 271 335 2872 XOR 2 1 2872 143 2873 XOR 2 1 1781 2678 2874 XOR 2 1 2142 2874 2875 XOR 2 1 2873 2875 2876 XOR 2 1 239 303 2877 XOR 2 1 2170 2438 2878 XOR 2 1 1994 2878 2879 XOR 2 1 2762 2879 2880 XOR 2 1 2181 2719 2881 XOR 2 1 2020 2881 2882 XOR 2 1 2748 2882 2883 XOR 2 1 2727 2883 2884 XOR 2 1 2880 2884 2885 XOR 2 1 2877 2885 2886 XOR 2 1 2876 2886 2887 AND 2 1 303 367 2888 XOR 2 1 2888 175 2889 XOR 2 1 2085 2646 2890 XOR 2 1 1021 2203 2891 XOR 2 1 2014 2891 2892 XOR 2 1 1860 2892 2893 XOR 2 1 1416 2893 2894 XOR 2 1 2890 2894 2895 XOR 2 1 2889 2895 2896 XOR 2 1 2887 2896 2897 XOR 2 1 2876 2896 2898 AND 2 1 207 271 2899 XOR 2 1 1739 2667 2900 XOR 2 1 2126 2900 2901 XOR 2 1 2899 2901 2902 XOR 2 1 2898 2902 2903 XOR 2 1 2897 2903 2904 AND 2 1 2904 2886 2905 XOR 2 1 283 347 2906 XOR 2 1 2906 155 2907 XOR 2 1 2360 2567 2908 XOR 2 1 2206 2908 2909 XOR 2 1 2907 2909 2910 XOR 2 1 251 315 2911 XOR 2 1 2445 2760 2912 XOR 2 1 2524 2912 2913 XOR 2 1 2911 2913 2914 XOR 2 1 2910 2914 2915 AND 2 1 315 379 2916 XOR 2 1 2916 187 2917 XOR 2 1 2074 2420 2918 XOR 2 1 2509 2918 2919 XOR 2 1 2917 2919 2920 XOR 2 1 2915 2920 2921 XOR 2 1 2910 2920 2922 AND 2 1 219 283 2923 XOR 2 1 2347 2698 2924 XOR 2 1 2184 2924 2925 XOR 2 1 2923 2925 2926 XOR 2 1 2922 2926 2927 XOR 2 1 2921 2927 2928 AND 2 1 2928 2914 2929 XOR 2 1 2905 2929 2930 XOR 2 1 2871 2930 2931 XOR 2 1 2844 2931 2932 XOR 2 1 225 289 2933 XOR 2 1 2114 2742 2934 XOR 2 1 2349 2934 2935 XOR 2 1 2836 2935 2936 XOR 2 1 2381 2936 2937 XOR 2 1 2730 2937 2938 XOR 2 1 2933 2938 2939 XOR 2 1 2424 2939 2940 AND 2 1 2435 2940 2941 XOR 2 1 2450 2941 2942 AND 2 1 2942 2939 2943 XOR 2 1 243 307 2944 XOR 2 1 2098 2652 2945 XOR 2 1 2746 2945 2946 XOR 2 1 2944 2946 2947 XOR 2 1 2456 2947 2948 AND 2 1 2462 2948 2949 XOR 2 1 2481 2949 2950 AND 2 1 2950 2947 2951 XOR 2 1 255 319 2952 XOR 2 1 2167 2792 2953 XOR 2 1 2598 2953 2954 XOR 2 1 2952 2954 2955 XOR 2 1 2490 2955 2956 AND 2 1 2495 2956 2957 XOR 2 1 2505 2957 2958 AND 2 1 2958 2955 2959 XOR 2 1 2951 2959 2960 XOR 2 1 240 304 2961 XOR 2 1 2178 2748 2962 XOR 2 1 2729 2962 2963 XOR 2 1 2763 2963 2964 XOR 2 1 2961 2964 2965 XOR 2 1 2512 2965 2966 AND 2 1 2518 2966 2967 XOR 2 1 2528 2967 2968 AND 2 1 2968 2965 2969 XOR 2 1 252 316 2970 XOR 2 1 2581 2814 2971 XOR 2 1 2632 2971 2972 XOR 2 1 2970 2972 2973 XOR 2 1 2534 2973 2974 AND 2 1 2541 2974 2975 XOR 2 1 2553 2975 2976 AND 2 1 2976 2973 2977 XOR 2 1 2969 2977 2978 XOR 2 1 2960 2978 2979 XOR 2 1 2943 2979 2980 XOR 2 1 2932 2980 2981 XOR 2 1 2665 2682 2982 AND 2 1 218 282 2983 XOR 2 1 2099 2935 2984 XOR 2 1 2962 2984 2985 XOR 2 1 2983 2985 2986 XOR 2 1 2982 2986 2987 XOR 2 1 2674 2987 2988 AND 2 1 2665 2988 2989 XOR 2 1 2820 2989 2990 XOR 2 1 2691 2710 2991 AND 2 1 195 259 2992 XOR 2 1 2578 2670 2993 XOR 2 1 2581 2879 2994 XOR 2 1 2593 2994 2995 XOR 2 1 2993 2995 2996 XOR 2 1 2992 2996 2997 XOR 2 1 2991 2997 2998 XOR 2 1 2702 2998 2999 AND 2 1 2691 2999 3000 XOR 2 1 2786 3000 3001 XOR 2 1 2990 3001 3002 XOR 2 1 2754 3002 3003 XOR 2 1 2981 3003 3004 XOR 2 1 2833 3004 3005 XOR 2 1 2716 3005 3006 AND 2 1 2605 2752 3007 XOR 2 1 2636 2767 3008 XOR 2 1 3007 3008 3009 XOR 2 1 2737 3009 3010 XOR 2 1 2796 2808 3011 XOR 2 1 2818 2827 3012 XOR 2 1 3011 3012 3013 XOR 2 1 2784 3013 3014 XOR 2 1 3010 3014 3015 XOR 2 1 2409 2862 3016 XOR 2 1 2897 2921 3017 XOR 2 1 3016 3017 3018 XOR 2 1 2842 3018 3019 XOR 2 1 2949 2957 3020 XOR 2 1 2967 2975 3021 XOR 2 1 3020 3021 3022 XOR 2 1 2941 3022 3023 XOR 2 1 3019 3023 3024 XOR 2 1 2818 2987 3025 XOR 2 1 2784 2998 3026 XOR 2 1 3025 3026 3027 XOR 2 1 2752 3027 3028 XOR 2 1 3024 3028 3029 XOR 2 1 3015 3029 3030 XOR 2 1 3006 3030 3031 XOR 2 1 263 327 3032 XOR 2 1 3032 135 3033 XOR 2 1 2400 2892 3034 XOR 2 1 2644 3034 3035 XOR 2 1 3033 3035 3036 XOR 2 1 231 295 3037 XOR 2 1 1570 2521 3038 XOR 2 1 2270 3038 3039 XOR 2 1 2629 3039 3040 XOR 2 1 2544 3040 3041 XOR 2 1 3037 3041 3042 XOR 2 1 3036 3042 3043 AND 2 1 295 359 3044 XOR 2 1 3044 167 3045 XOR 2 1 1765 2153 3046 XOR 2 1 2018 3046 3047 XOR 2 1 2152 3047 3048 XOR 2 1 2529 3048 3049 XOR 2 1 3045 3049 3050 XOR 2 1 3043 3050 3051 XOR 2 1 2921 3051 3052 XOR 2 1 280 344 3053 XOR 2 1 3053 152 3054 XOR 2 1 2219 2892 3055 XOR 2 1 2260 2706 3056 XOR 2 1 3055 3056 3057 XOR 2 1 3054 3057 3058 XOR 2 1 248 312 3059 XOR 2 1 2230 3039 3060 XOR 2 1 2273 2579 3061 XOR 2 1 3060 3061 3062 XOR 2 1 3059 3062 3063 XOR 2 1 3058 3063 3064 AND 2 1 312 376 3065 XOR 2 1 3065 184 3066 XOR 2 1 2246 3047 3067 XOR 2 1 2296 2559 3068 XOR 2 1 3067 3068 3069 XOR 2 1 3066 3069 3070 XOR 2 1 3064 3070 3071 XOR 2 1 260 324 3072 XOR 2 1 3072 132 3073 XOR 2 1 1152 2890 3074 XOR 2 1 2679 3074 3075 XOR 2 1 3073 3075 3076 XOR 2 1 228 292 3077 XOR 2 1 2098 2393 3078 XOR 2 1 2116 2549 3079 XOR 2 1 1585 3079 3080 XOR 2 1 3078 3080 3081 XOR 2 1 3077 3081 3082 XOR 2 1 3076 3082 3083 AND 2 1 292 356 3084 XOR 2 1 3084 164 3085 XOR 2 1 2134 2405 3086 XOR 2 1 2138 2531 3087 XOR 2 1 1768 3087 3088 XOR 2 1 3086 3088 3089 XOR 2 1 3085 3089 3090 XOR 2 1 3083 3090 3091 XOR 2 1 3071 3091 3092 XOR 2 1 3052 3092 3093 XOR 2 1 2305 3093 3094 XOR 2 1 2714 3094 3095 XOR 2 1 270 334 3096 XOR 2 1 3096 142 3097 XOR 2 1 1964 3047 3098 XOR 2 1 1777 3098 3099 XOR 2 1 3087 3099 3100 XOR 2 1 3097 3100 3101 XOR 2 1 302 366 3102 XOR 2 1 3102 174 3103 XOR 2 1 1984 3055 3104 XOR 2 1 2688 3104 3105 XOR 2 1 3103 3105 3106 XOR 2 1 3101 3106 3107 AND 2 1 206 270 3108 XOR 2 1 1942 3039 3109 XOR 2 1 1701 3109 3110 XOR 2 1 3079 3110 3111 XOR 2 1 3108 3111 3112 XOR 2 1 3107 3112 3113 XOR 2 1 2586 3113 3114 XOR 2 1 3008 3114 3115 XOR 2 1 2481 3115 3116 XOR 2 1 2903 2998 3117 XOR 2 1 3012 3117 3118 XOR 2 1 2605 3118 3119 XOR 2 1 3116 3119 3120 XOR 2 1 268 332 3121 XOR 2 1 3121 140 3122 XOR 2 1 2055 2247 3123 XOR 2 1 2568 3123 3124 XOR 2 1 3122 3124 3125 XOR 2 1 236 300 3126 XOR 2 1 2467 2725 3127 XOR 2 1 2446 3127 3128 XOR 2 1 3126 3128 3129 XOR 2 1 3125 3129 3130 AND 2 1 300 364 3131 XOR 2 1 3131 172 3132 XOR 2 1 1310 2369 3133 XOR 2 1 2421 3133 3134 XOR 2 1 3132 3134 3135 XOR 2 1 3130 3135 3136 XOR 2 1 3071 3136 3137 XOR 2 1 2339 3137 3138 XOR 2 1 2683 3138 3139 XOR 2 1 3120 3139 3140 XOR 2 1 3095 3140 3141 XOR 2 1 2914 2927 3142 AND 2 1 2910 3142 3143 XOR 2 1 3036 3050 3144 AND 2 1 199 263 3145 XOR 2 1 2733 2804 3146 XOR 2 1 2382 2882 3147 XOR 2 1 3146 3147 3148 XOR 2 1 3145 3148 3149 XOR 2 1 3144 3149 3150 XOR 2 1 3042 3150 3151 AND 2 1 3036 3151 3152 XOR 2 1 3143 3152 3153 XOR 2 1 3058 3070 3154 AND 2 1 216 280 3155 XOR 2 1 2778 2882 3156 XOR 2 1 2693 2791 3157 XOR 2 1 3156 3157 3158 XOR 2 1 3155 3158 3159 XOR 2 1 3154 3159 3160 XOR 2 1 3063 3160 3161 AND 2 1 3058 3161 3162 XOR 2 1 3076 3090 3163 AND 2 1 196 260 3164 XOR 2 1 2721 2880 3165 XOR 2 1 2671 3165 3166 XOR 2 1 3164 3166 3167 XOR 2 1 3163 3167 3168 XOR 2 1 3082 3168 3169 AND 2 1 3076 3169 3170 XOR 2 1 3162 3170 3171 XOR 2 1 3153 3171 3172 XOR 2 1 2798 3172 3173 XOR 2 1 3003 3173 3174 XOR 2 1 3091 3168 3175 AND 2 1 3082 3175 3176 XOR 2 1 2969 3176 3177 XOR 2 1 269 333 3178 XOR 2 1 3178 141 3179 XOR 2 1 2059 3067 3180 XOR 2 1 2707 3180 3181 XOR 2 1 3179 3181 3182 XOR 2 1 237 301 3183 XOR 2 1 2473 2779 3184 XOR 2 1 2582 3184 3185 XOR 2 1 3183 3185 3186 XOR 2 1 3182 3186 3187 AND 2 1 301 365 3188 XOR 2 1 3188 173 3189 XOR 2 1 1980 2220 3190 XOR 2 1 2560 3190 3191 XOR 2 1 3189 3191 3192 XOR 2 1 3187 3192 3193 XOR 2 1 3182 3192 3194 AND 2 1 205 269 3195 XOR 2 1 2035 3060 3196 XOR 2 1 2699 3196 3197 XOR 2 1 3195 3197 3198 XOR 2 1 3194 3198 3199 XOR 2 1 3193 3199 3200 AND 2 1 3200 3186 3201 XOR 2 1 2943 3201 3202 XOR 2 1 3177 3202 3203 XOR 2 1 2846 3203 3204 XOR 2 1 2146 2767 3205 AND 2 1 2129 3205 3206 XOR 2 1 2212 2636 3207 AND 2 1 2192 3207 3208 XOR 2 1 3206 3208 3209 XOR 2 1 226 290 3210 XOR 2 1 2698 2935 3211 XOR 2 1 1997 3211 3212 XOR 2 1 2780 3212 3213 XOR 2 1 3210 3213 3214 XOR 2 1 2563 3214 3215 AND 2 1 2571 3215 3216 XOR 2 1 2586 3216 3217 AND 2 1 3217 3214 3218 XOR 2 1 238 302 3219 XOR 2 1 2597 3156 3220 XOR 2 1 2994 3220 3221 XOR 2 1 3219 3221 3222 XOR 2 1 3101 3222 3223 AND 2 1 3106 3223 3224 XOR 2 1 3113 3224 3225 AND 2 1 3225 3222 3226 XOR 2 1 3218 3226 3227 XOR 2 1 3209 3227 3228 XOR 2 1 2951 3228 3229 XOR 2 1 3204 3229 3230 XOR 2 1 3125 3135 3231 AND 2 1 204 268 3232 XOR 2 1 2023 2231 3233 XOR 2 1 3211 3233 3234 XOR 2 1 3232 3234 3235 XOR 2 1 3231 3235 3236 XOR 2 1 3129 3236 3237 AND 2 1 3125 3237 3238 XOR 2 1 3162 3238 3239 XOR 2 1 2811 3239 3240 XOR 2 1 2989 3240 3241 XOR 2 1 3230 3241 3242 XOR 2 1 3174 3242 3243 XOR 2 1 3141 3243 3244 AND 2 1 2927 3150 3245 XOR 2 1 3160 3168 3246 XOR 2 1 3245 3246 3247 XOR 2 1 2796 3247 3248 XOR 2 1 3028 3248 3249 XOR 2 1 2967 3091 3250 XOR 2 1 2941 3193 3251 XOR 2 1 3250 3251 3252 XOR 2 1 2409 3252 3253 XOR 2 1 3216 3224 3254 XOR 2 1 2213 3254 3255 XOR 2 1 2949 3255 3256 XOR 2 1 3253 3256 3257 XOR 2 1 3160 3236 3258 XOR 2 1 3011 3258 3259 XOR 2 1 2987 3259 3260 XOR 2 1 3257 3260 3261 XOR 2 1 3249 3261 3262 XOR 2 1 3244 3262 3263 XOR 2 1 2711 2897 3264 XOR 2 1 2410 3264 3265 XOR 2 1 2067 3265 3266 XOR 2 1 2949 3051 3267 XOR 2 1 3250 3267 3268 XOR 2 1 2338 3268 3269 XOR 2 1 3266 3269 3270 XOR 2 1 2987 3113 3271 XOR 2 1 2657 3271 3272 XOR 2 1 2505 3272 3273 XOR 2 1 2827 2868 3274 XOR 2 1 2903 2927 3275 XOR 2 1 3274 3275 3276 XOR 2 1 2625 3276 3277 XOR 2 1 3273 3277 3278 XOR 2 1 2338 2941 3279 XOR 2 1 3092 3279 3280 XOR 2 1 2365 3280 3281 XOR 2 1 3278 3281 3282 XOR 2 1 3270 3282 3283 XOR 2 1 2886 2903 3284 AND 2 1 2876 3284 3285 XOR 2 1 3000 3285 3286 XOR 2 1 2830 3286 3287 XOR 2 1 2756 3287 3288 XOR 2 1 2481 2947 3289 AND 2 1 2456 3289 3290 XOR 2 1 3152 3290 3291 XOR 2 1 2528 2965 3292 AND 2 1 2512 3292 3293 XOR 2 1 3170 3293 3294 XOR 2 1 3291 3294 3295 XOR 2 1 2810 3295 3296 XOR 2 1 3288 3296 3297 XOR 2 1 281 345 3298 XOR 2 1 3298 153 3299 XOR 2 1 2429 3086 3300 XOR 2 1 2893 3300 3301 XOR 2 1 3299 3301 3302 XOR 2 1 249 313 3303 XOR 2 1 2500 2993 3304 XOR 2 1 3109 3304 3305 XOR 2 1 3303 3305 3306 XOR 2 1 3302 3306 3307 AND 2 1 313 377 3308 XOR 2 1 3308 185 3309 XOR 2 1 2486 2687 3310 XOR 2 1 3098 3310 3311 XOR 2 1 3309 3311 3312 XOR 2 1 3307 3312 3313 XOR 2 1 3302 3312 3314 AND 2 1 217 281 3315 XOR 2 1 2836 3078 3316 XOR 2 1 2883 3316 3317 XOR 2 1 3315 3317 3318 XOR 2 1 3314 3318 3319 XOR 2 1 3313 3319 3320 AND 2 1 3320 3306 3321 XOR 2 1 3201 3321 3322 XOR 2 1 2978 3322 3323 XOR 2 1 2870 3323 3324 XOR 2 1 253 317 3325 XOR 2 1 2879 3146 3326 XOR 2 1 2823 3326 3327 XOR 2 1 3325 3327 3328 XOR 2 1 2641 3328 3329 AND 2 1 2649 3329 3330 XOR 2 1 2656 3330 3331 AND 2 1 3331 3328 3332 XOR 2 1 3208 3332 3333 XOR 2 1 2683 2987 3334 AND 2 1 2674 3334 3335 XOR 2 1 3226 3335 3336 XOR 2 1 3333 3336 3337 XOR 2 1 2959 3337 3338 XOR 2 1 3324 3338 3339 XOR 2 1 2450 2939 3340 AND 2 1 2424 3340 3341 XOR 2 1 2810 3341 3342 XOR 2 1 3171 3342 3343 XOR 2 1 2820 3343 3344 XOR 2 1 3339 3344 3345 XOR 2 1 3297 3345 3346 XOR 2 1 3283 3346 3347 AND 2 1 2481 3150 3348 XOR 2 1 2528 3168 3349 XOR 2 1 3348 3349 3350 XOR 2 1 2808 3350 3351 XOR 2 1 3119 3351 3352 XOR 2 1 3193 3313 3353 XOR 2 1 3021 3353 3354 XOR 2 1 2862 3354 3355 XOR 2 1 2212 3330 3356 XOR 2 1 2683 3224 3357 XOR 2 1 3356 3357 3358 XOR 2 1 2957 3358 3359 XOR 2 1 3355 3359 3360 XOR 2 1 2450 2808 3361 XOR 2 1 3246 3361 3362 XOR 2 1 2818 3362 3363 XOR 2 1 3360 3363 3364 XOR 2 1 3352 3364 3365 XOR 2 1 3347 3365 3366 XOR 2 1 3263 3366 3367 XOR 2 1 2067 2842 3368 XOR 2 1 2712 3368 3369 XOR 2 1 2146 3369 3370 XOR 2 1 3281 3370 3371 XOR 2 1 267 331 3372 XOR 2 1 3372 139 3373 XOR 2 1 1773 2402 3374 XOR 2 1 2432 3374 3375 XOR 2 1 3373 3375 3376 XOR 2 1 299 363 3377 XOR 2 1 3377 171 3378 XOR 2 1 2157 2308 3379 XOR 2 1 2608 3379 3380 XOR 2 1 3378 3380 3381 XOR 2 1 3376 3381 3382 AND 2 1 203 267 3383 XOR 2 1 1634 2387 3384 XOR 2 1 2936 3384 3385 XOR 2 1 3383 3385 3386 XOR 2 1 3382 3386 3387 XOR 2 1 2505 3387 3388 XOR 2 1 3114 3388 3389 XOR 2 1 2528 3389 3390 XOR 2 1 2625 3236 3391 XOR 2 1 3117 3391 3392 XOR 2 1 2636 3392 3393 XOR 2 1 3390 3393 3394 XOR 2 1 2412 3394 3395 XOR 2 1 3371 3395 3396 XOR 2 1 2625 2840 3397 AND 2 1 2611 3397 3398 XOR 2 1 2756 3398 3399 XOR 2 1 3001 3399 3400 XOR 2 1 2769 3400 3401 XOR 2 1 3344 3401 3402 XOR 2 1 266 330 3403 XOR 2 1 3403 138 3404 XOR 2 1 2205 2330 3405 XOR 2 1 2614 3405 3406 XOR 2 1 3404 3406 3407 XOR 2 1 234 298 3408 XOR 2 1 2523 2594 3409 XOR 2 1 2501 3409 3410 XOR 2 1 3408 3410 3411 XOR 2 1 3407 3411 3412 AND 2 1 298 362 3413 XOR 2 1 3413 170 3414 XOR 2 1 1977 2155 3415 XOR 2 1 2487 3415 3416 XOR 2 1 3414 3416 3417 XOR 2 1 3412 3417 3418 XOR 2 1 3407 3417 3419 AND 2 1 202 266 3420 XOR 2 1 2183 2317 3421 XOR 2 1 2837 3421 3422 XOR 2 1 3420 3422 3423 XOR 2 1 3419 3423 3424 XOR 2 1 3418 3424 3425 AND 2 1 3425 3411 3426 XOR 2 1 2870 3426 3427 XOR 2 1 3202 3427 3428 XOR 2 1 2905 3428 3429 XOR 2 1 235 299 3430 XOR 2 1 2631 2801 3431 XOR 2 1 2621 3431 3432 XOR 2 1 3430 3432 3433 XOR 2 1 3376 3433 3434 AND 2 1 3381 3434 3435 XOR 2 1 3387 3435 3436 AND 2 1 3436 3433 3437 XOR 2 1 2959 3437 3438 XOR 2 1 3227 3438 3439 XOR 2 1 2969 3439 3440 XOR 2 1 3429 3440 3441 XOR 2 1 2832 3441 3442 XOR 2 1 3402 3442 3443 XOR 2 1 3396 3443 3444 AND 2 1 2626 3026 3445 XOR 2 1 2767 3445 3446 XOR 2 1 3363 3446 3447 XOR 2 1 2862 3418 3448 XOR 2 1 3251 3448 3449 XOR 2 1 2897 3449 3450 XOR 2 1 2957 3435 3451 XOR 2 1 3254 3451 3452 XOR 2 1 2967 3452 3453 XOR 2 1 3450 3453 3454 XOR 2 1 3014 3454 3455 XOR 2 1 3447 3455 3456 XOR 2 1 3444 3456 3457 XOR 2 1 2842 3136 3458 XOR 2 1 3264 3458 3459 XOR 2 1 2212 3459 3460 XOR 2 1 3253 3460 3461 XOR 2 1 2784 3387 3462 XOR 2 1 3271 3462 3463 XOR 2 1 2553 3463 3464 XOR 2 1 3258 3275 3465 XOR 2 1 2656 3465 3466 XOR 2 1 3464 3466 3467 XOR 2 1 3016 3279 3468 XOR 2 1 2711 3468 3469 XOR 2 1 3467 3469 3470 XOR 2 1 3461 3470 3471 XOR 2 1 3238 3398 3472 XOR 2 1 3286 3472 3473 XOR 2 1 2771 3473 3474 XOR 2 1 3186 3199 3475 AND 2 1 3182 3475 3476 XOR 2 1 3341 3476 3477 XOR 2 1 3294 3477 3478 XOR 2 1 2829 3478 3479 XOR 2 1 3474 3479 3480 XOR 2 1 1790 2737 3481 AND 2 1 1761 3481 3482 XOR 2 1 3426 3482 3483 XOR 2 1 3322 3483 3484 XOR 2 1 2929 3484 3485 XOR 2 1 2254 2784 3486 AND 2 1 2240 3486 3487 XOR 2 1 3437 3487 3488 XOR 2 1 3336 3488 3489 XOR 2 1 2977 3489 3490 XOR 2 1 3485 3490 3491 XOR 2 1 2855 2868 3492 AND 2 1 2851 3492 3493 XOR 2 1 2829 3493 3494 XOR 2 1 3342 3494 3495 XOR 2 1 3000 3495 3496 XOR 2 1 3491 3496 3497 XOR 2 1 3480 3497 3498 XOR 2 1 3471 3498 3499 AND 2 1 2450 3199 3500 XOR 2 1 3349 3500 3501 XOR 2 1 2827 3501 3502 XOR 2 1 3393 3502 3503 XOR 2 1 1790 3418 3504 XOR 2 1 3353 3504 3505 XOR 2 1 2921 3505 3506 XOR 2 1 2254 3435 3507 XOR 2 1 3357 3507 3508 XOR 2 1 2975 3508 3509 XOR 2 1 3506 3509 3510 XOR 2 1 3274 3361 3511 XOR 2 1 2998 3511 3512 XOR 2 1 3510 3512 3513 XOR 2 1 3503 3513 3514 XOR 2 1 3499 3514 3515 XOR 2 1 3457 3515 3516 XOR 2 1 3367 3516 3517 XOR 2 1 3031 3517 3518 XOR 2 1 3267 3504 3519 XOR 2 1 3071 3519 3520 XOR 2 1 2412 3520 3521 XOR 2 1 2659 3512 3522 XOR 2 1 3094 3522 3523 XOR 2 1 3521 3523 3524 XOR 2 1 3411 3424 3525 AND 2 1 3407 3525 3526 XOR 2 1 2739 3526 3527 XOR 2 1 3291 3527 3528 XOR 2 1 3162 3528 3529 XOR 2 1 2832 3529 3530 XOR 2 1 2067 2605 3531 AND 2 1 2044 3531 3532 XOR 2 1 2844 3532 3533 XOR 2 1 3333 3533 3534 XOR 2 1 3218 3534 3535 XOR 2 1 2980 3535 3536 XOR 2 1 3173 3536 3537 XOR 2 1 3530 3537 3538 XOR 2 1 3524 3538 3539 AND 2 1 2737 3424 3540 XOR 2 1 3348 3540 3541 XOR 2 1 3160 3541 3542 XOR 2 1 3014 3542 3543 XOR 2 1 3356 3368 3544 XOR 2 1 3216 3544 3545 XOR 2 1 3023 3545 3546 XOR 2 1 3248 3546 3547 XOR 2 1 3543 3547 3548 XOR 2 1 3539 3548 3549 XOR 2 1 1968 2975 3550 XOR 2 1 2146 3313 3551 XOR 2 1 3550 3551 3552 XOR 2 1 3418 3552 3553 XOR 2 1 3094 3553 3554 XOR 2 1 3352 3506 3555 XOR 2 1 3554 3555 3556 XOR 2 1 2553 2973 3557 AND 2 1 2534 3557 3558 XOR 2 1 2754 3558 3559 XOR 2 1 3306 3319 3560 AND 2 1 3302 3560 3561 XOR 2 1 2769 3561 3562 XOR 2 1 3559 3562 3563 XOR 2 1 3526 3563 3564 XOR 2 1 3173 3564 3565 XOR 2 1 2365 2818 3566 AND 2 1 2356 3566 3567 XOR 2 1 2846 3567 3568 XOR 2 1 2711 2998 3569 AND 2 1 2702 3569 3570 XOR 2 1 2905 3570 3571 XOR 2 1 3568 3571 3572 XOR 2 1 3532 3572 3573 XOR 2 1 3229 3573 3574 XOR 2 1 3476 3561 3575 XOR 2 1 3527 3575 3576 XOR 2 1 3143 3576 3577 XOR 2 1 3574 3577 3578 XOR 2 1 3565 3578 3579 XOR 2 1 3556 3579 3580 AND 2 1 2553 2752 3581 XOR 2 1 2767 3319 3582 XOR 2 1 3581 3582 3583 XOR 2 1 3424 3583 3584 XOR 2 1 3248 3584 3585 XOR 2 1 3256 3266 3586 XOR 2 1 3199 3319 3587 XOR 2 1 3540 3587 3588 XOR 2 1 2927 3588 3589 XOR 2 1 3586 3589 3590 XOR 2 1 3585 3590 3591 XOR 2 1 3580 3591 3592 XOR 2 1 2215 3269 3593 XOR 2 1 2556 3277 3594 XOR 2 1 1790 3216 3595 XOR 2 1 3551 3595 3596 XOR 2 1 3051 3596 3597 XOR 2 1 3594 3597 3598 XOR 2 1 3593 3598 3599 XOR 2 1 2774 3296 3600 XOR 2 1 2932 3338 3601 XOR 2 1 2586 3214 3602 AND 2 1 2563 3602 3603 XOR 2 1 2739 3603 3604 XOR 2 1 3562 3604 3605 XOR 2 1 3152 3605 3606 XOR 2 1 3601 3606 3607 XOR 2 1 3600 3607 3608 XOR 2 1 3599 3608 3609 AND 2 1 3010 3351 3610 XOR 2 1 3019 3359 3611 XOR 2 1 2586 2737 3612 XOR 2 1 3582 3612 3613 XOR 2 1 3150 3613 3614 XOR 2 1 3611 3614 3615 XOR 2 1 3610 3615 3616 XOR 2 1 3609 3616 3617 XOR 2 1 3592 3617 3618 XOR 2 1 3281 3597 3619 XOR 2 1 3503 3520 3620 XOR 2 1 3619 3620 3621 XOR 2 1 3344 3606 3622 XOR 2 1 3136 3236 3623 AND 2 1 3129 3623 3624 XOR 2 1 2844 3624 3625 XOR 2 1 3571 3625 3626 XOR 2 1 3208 3626 3627 XOR 2 1 3440 3627 3628 XOR 2 1 3529 3628 3629 XOR 2 1 3622 3629 3630 XOR 2 1 3621 3630 3631 AND 2 1 3363 3614 3632 XOR 2 1 3453 3460 3633 XOR 2 1 3542 3633 3634 XOR 2 1 3632 3634 3635 XOR 2 1 3631 3635 3636 XOR 2 1 2554 3587 3637 XOR 2 1 2868 3637 3638 XOR 2 1 3466 3638 3639 XOR 2 1 3020 3595 3640 XOR 2 1 3091 3640 3641 XOR 2 1 3639 3641 3642 XOR 2 1 3257 3642 3643 XOR 2 1 3113 3222 3644 AND 2 1 3101 3644 3645 XOR 2 1 3603 3645 3646 XOR 2 1 2772 3646 3647 XOR 2 1 3290 3647 3648 XOR 2 1 3479 3648 3649 XOR 2 1 3071 3160 3650 AND 2 1 3063 3650 3651 XOR 2 1 3624 3651 3652 XOR 2 1 2930 3652 3653 XOR 2 1 3332 3653 3654 XOR 2 1 3490 3654 3655 XOR 2 1 2505 2955 3656 AND 2 1 2490 3656 3657 XOR 2 1 3290 3657 3658 XOR 2 1 3604 3658 3659 XOR 2 1 3170 3659 3660 XOR 2 1 3655 3660 3661 XOR 2 1 3649 3661 3662 XOR 2 1 3643 3662 3663 AND 2 1 3116 3502 3664 XOR 2 1 3017 3137 3665 XOR 2 1 3330 3665 3666 XOR 2 1 3509 3666 3667 XOR 2 1 2506 3612 3668 XOR 2 1 3168 3668 3669 XOR 2 1 3667 3669 3670 XOR 2 1 3664 3670 3671 XOR 2 1 3663 3671 3672 XOR 2 1 3636 3672 3673 XOR 2 1 3618 3673 3674 XOR 2 1 3549 3674 3675 XOR 2 1 3518 3675 3676 XOR 2 1 2868 3424 3677 XOR 2 1 3245 3677 3678 XOR 2 1 3236 3678 3679 XOR 2 1 3388 3581 3680 XOR 2 1 3199 3680 3681 XOR 2 1 3679 3681 3682 XOR 2 1 3256 3682 3683 XOR 2 1 3546 3683 3684 XOR 2 1 2305 3330 3685 XOR 2 1 2684 3685 3686 XOR 2 1 3435 3686 3687 XOR 2 1 3052 3448 3688 XOR 2 1 3136 3688 3689 XOR 2 1 3687 3689 3690 XOR 2 1 3116 3690 3691 XOR 2 1 2660 3691 3692 XOR 2 1 3684 3692 3693 AND 2 1 3493 3526 3694 XOR 2 1 3153 3694 3695 XOR 2 1 3238 3695 3696 XOR 2 1 3387 3433 3697 AND 2 1 3376 3697 3698 XOR 2 1 3657 3698 3699 XOR 2 1 3559 3699 3700 XOR 2 1 3476 3700 3701 XOR 2 1 3696 3701 3702 XOR 2 1 3229 3702 3703 XOR 2 1 3536 3703 3704 XOR 2 1 3693 3704 3705 XOR 2 1 3273 3638 3706 XOR 2 1 3370 3706 3707 XOR 2 1 3586 3707 3708 XOR 2 1 3355 3666 3709 XOR 2 1 3446 3709 3710 XOR 2 1 3120 3710 3711 XOR 2 1 3708 3711 3712 AND 2 1 3293 3558 3713 XOR 2 1 3575 3713 3714 XOR 2 1 3493 3714 3715 XOR 2 1 2656 3328 3716 AND 2 1 2641 3716 3717 XOR 2 1 2771 3717 3718 XOR 2 1 2989 3645 3719 XOR 2 1 3718 3719 3720 XOR 2 1 3657 3720 3721 XOR 2 1 3715 3721 3722 XOR 2 1 3487 3570 3723 XOR 2 1 3533 3723 3724 XOR 2 1 3206 3724 3725 XOR 2 1 3722 3725 3726 XOR 2 1 3574 3726 3727 XOR 2 1 3712 3727 3728 XOR 2 1 2656 2796 3729 XOR 2 1 3025 3729 3730 XOR 2 1 3387 3730 3731 XOR 2 1 3584 3731 3732 XOR 2 1 3460 3732 3733 XOR 2 1 3611 3733 3734 XOR 2 1 3393 3554 3735 XOR 2 1 3278 3735 3736 XOR 2 1 3734 3736 3737 AND 2 1 2798 3717 3738 XOR 2 1 2990 3738 3739 XOR 2 1 3698 3739 3740 XOR 2 1 3564 3740 3741 XOR 2 1 3627 3741 3742 XOR 2 1 3601 3742 3743 XOR 2 1 3737 3743 3744 XOR 1 1 3744 3745 INV 2 1 3728 3745 3746 XOR 2 1 3464 3589 3747 XOR 2 1 3545 3747 3748 XOR 2 1 3633 3748 3749 XOR 2 1 3139 3506 3750 XOR 2 1 2659 3750 3751 XOR 2 1 3394 3751 3752 XOR 2 1 3749 3752 3753 AND 2 1 2786 3698 3754 XOR 2 1 3719 3754 3755 XOR 2 1 3558 3755 3756 XOR 2 1 3577 3756 3757 XOR 2 1 3535 3757 3758 XOR 2 1 3628 3758 3759 XOR 2 1 3753 3759 3760 XOR 2 1 3028 3614 3761 XOR 2 1 3458 3685 3762 XOR 2 1 3224 3762 3763 XOR 2 1 3761 3763 3764 XOR 2 1 3667 3764 3765 XOR 2 1 3391 3729 3766 XOR 2 1 3113 3766 3767 XOR 2 1 3619 3767 3768 XOR 2 1 3467 3768 3769 XOR 2 1 3765 3769 3770 AND 2 1 3003 3606 3771 XOR 2 1 2305 2796 3772 AND 2 1 2289 3772 3773 XOR 2 1 3332 3773 3774 XOR 2 1 3625 3774 3775 XOR 2 1 3226 3775 3776 XOR 2 1 3771 3776 3777 XOR 2 1 3655 3777 3778 XOR 2 1 3770 3778 3779 XOR 2 1 3760 3779 3780 XOR 2 1 3746 3780 3781 XOR 2 1 3705 3781 3782 XOR 2 1 3469 3545 3783 XOR 2 1 3681 3767 3784 XOR 2 1 3266 3784 3785 XOR 2 1 3783 3785 3786 XOR 2 1 3451 3550 3787 XOR 2 1 3193 3787 3788 XOR 2 1 3689 3788 3789 XOR 2 1 3119 3789 3790 XOR 2 1 3522 3790 3791 XOR 2 1 3786 3791 3792 AND 2 1 2338 2808 3793 AND 2 1 2326 3793 3794 XOR 2 1 2943 3794 3795 XOR 2 1 2871 3795 3796 XOR 2 1 3570 3796 3797 XOR 2 1 3535 3797 3798 XOR 2 1 3472 3738 3799 XOR 2 1 3645 3799 3800 XOR 2 1 3701 3800 3801 XOR 2 1 3573 3801 3802 XOR 2 1 3798 3802 3803 XOR 2 1 3792 3803 3804 XOR 2 1 3283 3365 3805 AND 2 1 3051 3150 3806 AND 2 1 3042 3806 3807 XOR 2 1 2951 3807 3808 XOR 2 1 3177 3808 3809 XOR 2 1 3794 3809 3810 XOR 2 1 3573 3810 3811 XOR 2 1 3143 3285 3812 XOR 2 1 3494 3812 3813 XOR 2 1 3398 3813 3814 XOR 2 1 3721 3814 3815 XOR 2 1 3176 3651 3816 XOR 2 1 3795 3816 3817 XOR 2 1 3567 3817 3818 XOR 2 1 3815 3818 3819 XOR 2 1 3811 3819 3820 XOR 2 1 3805 3820 3821 XOR 2 1 3679 3731 3822 XOR 2 1 3253 3822 3823 XOR 2 1 3024 3823 3824 XOR 2 1 3553 3687 3825 XOR 2 1 3502 3825 3826 XOR 2 1 3594 3826 3827 XOR 2 1 3824 3827 3828 AND 2 1 3696 3740 3829 XOR 2 1 3204 3829 3830 XOR 2 1 2981 3830 3831 XOR 2 1 3828 3831 3832 XOR 2 1 3821 3832 3833 XOR 2 1 3471 3514 3834 AND 2 1 3204 3627 3835 XOR 2 1 3239 3812 3836 XOR 2 1 3717 3836 3837 XOR 2 1 3756 3837 3838 XOR 2 1 3797 3838 3839 XOR 2 1 3835 3839 3840 XOR 2 1 3834 3840 3841 XOR 2 1 3249 3450 3842 XOR 2 1 3709 3842 3843 XOR 2 1 2714 3597 3844 XOR 2 1 3500 3677 3845 XOR 2 1 2903 3845 3846 XOR 2 1 3844 3846 3847 XOR 2 1 3639 3847 3848 XOR 2 1 3843 3848 3849 AND 2 1 3324 3654 3850 XOR 2 1 3174 3429 3851 XOR 2 1 3850 3851 3852 XOR 2 1 3849 3852 3853 XOR 2 1 3841 3853 3854 XOR 2 1 3833 3854 3855 XOR 2 1 3804 3855 3856 XOR 2 1 3782 3856 3857 XOR 2 1 3447 3689 3858 XOR 2 1 3750 3858 3859 XOR 2 1 3241 3577 3860 XOR 2 1 3218 3482 3861 XOR 2 1 2960 3861 3862 XOR 2 1 3176 3862 3863 XOR 2 1 3725 3863 3864 XOR 2 1 3696 3864 3865 XOR 2 1 3860 3865 3866 XOR 2 1 3859 3866 3867 AND 2 1 3260 3589 3868 XOR 2 1 3370 3641 3869 XOR 2 1 3679 3869 3870 XOR 2 1 3868 3870 3871 XOR 2 1 3867 3871 3872 XOR 2 1 3636 3872 3873 XOR 2 1 3469 3641 3874 XOR 2 1 3767 3846 3875 XOR 2 1 3269 3875 3876 XOR 2 1 3874 3876 3877 XOR 2 1 3496 3660 3878 XOR 2 1 1968 2752 3879 AND 2 1 1945 3879 3880 XOR 2 1 2977 3880 3881 XOR 2 1 3438 3881 3882 XOR 2 1 3201 3882 3883 XOR 2 1 3776 3883 3884 XOR 2 1 3296 3884 3885 XOR 2 1 3878 3885 3886 XOR 2 1 3877 3886 3887 AND 2 1 3512 3669 3888 XOR 2 1 3763 3788 3889 XOR 2 1 3351 3889 3890 XOR 2 1 3888 3890 3891 XOR 2 1 3887 3891 3892 XOR 2 1 3549 3892 3893 XOR 2 1 3873 3893 3894 XOR 2 1 3263 3894 3895 XOR 2 1 3857 3895 3896 XOR 2 1 3676 3896 3897 XOR 2 1 389 3897 3898 XOR 2 1 3065 248 3899 XOR 2 1 2716 3030 3900 AND 2 1 3532 3880 3901 XOR 2 1 3209 3901 3902 XOR 2 1 3482 3902 3903 XOR 2 1 3773 3794 3904 XOR 2 1 3568 3904 3905 XOR 2 1 3487 3905 3906 XOR 2 1 3903 3906 3907 XOR 2 1 3658 3713 3908 XOR 2 1 3341 3908 3909 XOR 2 1 3399 3718 3910 XOR 2 1 3603 3910 3911 XOR 2 1 3909 3911 3912 XOR 2 1 3335 3567 3913 XOR 2 1 3723 3913 3914 XOR 2 1 3880 3914 3915 XOR 2 1 3912 3915 3916 XOR 2 1 3907 3916 3917 XOR 2 1 3900 3917 3918 XOR 2 1 3005 3918 3919 AND 2 1 2716 3919 3920 XOR 2 1 3141 3262 3921 AND 2 1 2929 3807 3922 XOR 2 1 3816 3922 3923 XOR 2 1 3773 3923 3924 XOR 2 1 3915 3924 3925 XOR 2 1 3288 3648 3926 XOR 2 1 3652 3904 3927 XOR 2 1 3335 3927 3928 XOR 2 1 3926 3928 3929 XOR 2 1 3925 3929 3930 XOR 2 1 3921 3930 3931 XOR 2 1 3243 3931 3932 AND 2 1 3141 3932 3933 XOR 2 1 3346 3821 3934 AND 2 1 3283 3934 3935 XOR 2 1 3933 3935 3936 XOR 2 1 3396 3456 3937 AND 2 1 3725 3818 3938 XOR 2 1 3646 3699 3939 XOR 2 1 3293 3939 3940 XOR 2 1 3474 3940 3941 XOR 2 1 3906 3941 3942 XOR 2 1 3938 3942 3943 XOR 2 1 3937 3943 3944 XOR 2 1 3443 3944 3945 AND 2 1 3396 3945 3946 XOR 2 1 3498 3841 3947 AND 2 1 3471 3947 3948 XOR 2 1 3946 3948 3949 XOR 2 1 3936 3949 3950 XOR 2 1 3920 3950 3951 XOR 2 1 3524 3548 3952 AND 2 1 3483 3808 3953 XOR 2 1 3651 3953 3954 XOR 2 1 3906 3954 3955 XOR 2 1 3496 3911 3956 XOR 2 1 3924 3956 3957 XOR 2 1 3955 3957 3958 XOR 2 1 3952 3958 3959 XOR 2 1 3538 3959 3960 AND 2 1 3524 3960 3961 XOR 2 1 3556 3591 3962 AND 2 1 3206 3321 3963 XOR 2 1 3881 3963 3964 XOR 2 1 3426 3964 3965 XOR 2 1 3924 3965 3966 XOR 2 1 3297 3485 3967 XOR 2 1 3966 3967 3968 XOR 2 1 3962 3968 3969 XOR 2 1 3579 3969 3970 AND 2 1 3556 3970 3971 XOR 2 1 3599 3616 3972 AND 2 1 3810 3903 3973 XOR 2 1 3814 3909 3974 XOR 2 1 3861 3963 3975 XOR 2 1 3807 3975 3976 XOR 2 1 3974 3976 3977 XOR 2 1 3973 3977 3978 XOR 2 1 3972 3978 3979 XOR 2 1 3608 3979 3980 AND 2 1 3599 3980 3981 XOR 2 1 3971 3981 3982 XOR 2 1 3621 3635 3983 AND 2 1 3818 3976 3984 XOR 2 1 3480 3954 3985 XOR 2 1 3984 3985 3986 XOR 2 1 3983 3986 3987 XOR 2 1 3630 3987 3988 AND 2 1 3621 3988 3989 XOR 2 1 3643 3671 3990 AND 2 1 3715 3837 3991 XOR 2 1 3863 3991 3992 XOR 2 1 3230 3992 3993 XOR 2 1 3990 3993 3994 XOR 2 1 3662 3994 3995 AND 2 1 3643 3995 3996 XOR 2 1 3989 3996 3997 XOR 2 1 3982 3997 3998 XOR 2 1 3961 3998 3999 XOR 2 1 3951 3999 4000 XOR 2 1 3774 3913 4001 XOR 2 1 3437 4001 4002 XOR 2 1 3965 4002 4003 XOR 2 1 3479 4003 4004 XOR 2 1 3974 4004 4005 XOR 2 1 3824 4005 4006 AND 2 1 3827 4006 4007 XOR 2 1 3832 4007 4008 AND 2 1 4008 4005 4009 XOR 2 1 3672 3994 4010 AND 2 1 3662 4010 4011 XOR 2 1 3453 3585 4012 XOR 2 1 3360 4012 4013 XOR 2 1 3925 3940 4014 XOR 2 1 3722 4014 4015 XOR 2 1 4013 4015 4016 AND 2 1 3095 3390 4017 XOR 2 1 3706 4017 4018 XOR 2 1 4016 4018 4019 XOR 2 1 4013 4018 4020 AND 2 1 3440 3565 4021 XOR 2 1 3339 4021 4022 XOR 2 1 4020 4022 4023 XOR 2 1 4019 4023 4024 AND 2 1 4024 4015 4025 XOR 2 1 4011 4025 4026 XOR 2 1 3023 3868 4027 XOR 2 1 3454 4027 4028 XOR 2 1 3477 3694 4029 XOR 2 1 3285 4029 4030 XOR 2 1 3940 4030 4031 XOR 2 1 3488 3901 4032 XOR 2 1 3321 4032 4033 XOR 2 1 3928 4033 4034 XOR 2 1 3909 4034 4035 XOR 2 1 4031 4035 4036 XOR 2 1 4028 4036 4037 AND 2 1 3390 3846 4038 XOR 2 1 2068 3507 4039 XOR 2 1 3313 4039 4040 XOR 2 1 3139 4040 4041 XOR 2 1 2556 4041 4042 XOR 2 1 4038 4042 4043 XOR 2 1 4037 4043 4044 XOR 2 1 4028 4043 4045 AND 2 1 2980 3860 4046 XOR 2 1 3441 4046 4047 XOR 2 1 4045 4047 4048 XOR 2 1 4044 4048 4049 AND 2 1 4049 4036 4050 XOR 2 1 3632 3788 4051 XOR 2 1 3510 4051 4052 XOR 2 1 3701 3938 4053 XOR 2 1 3757 4053 4054 XOR 2 1 4052 4054 4055 AND 2 1 3371 3681 4056 XOR 2 1 3747 4056 4057 XOR 2 1 4055 4057 4058 XOR 2 1 4052 4057 4059 AND 2 1 3622 3883 4060 XOR 2 1 3491 4060 4061 XOR 2 1 4059 4061 4062 XOR 2 1 4058 4062 4063 AND 2 1 4063 4054 4064 XOR 2 1 4050 4064 4065 XOR 2 1 4026 4065 4066 XOR 2 1 4009 4066 4067 XOR 2 1 3427 3922 4068 XOR 2 1 3624 4068 4069 XOR 2 1 4002 4069 4070 XOR 2 1 3648 4070 4071 XOR 2 1 3912 4071 4072 XOR 2 1 3684 4072 4073 AND 2 1 3692 4073 4074 XOR 2 1 3705 4074 4075 AND 2 1 4075 4072 4076 XOR 2 1 3401 3850 4077 XOR 2 1 3926 4077 4078 XOR 2 1 3708 4078 4079 AND 2 1 3711 4079 4080 XOR 2 1 3728 4080 4081 AND 2 1 4081 4078 4082 XOR 2 1 3474 3966 4083 XOR 2 1 3815 4083 4084 XOR 2 1 3734 4084 4085 AND 2 1 3736 4085 4086 XOR 2 1 4086 3745 4087 AND 2 1 4087 4084 4088 XOR 2 1 4082 4088 4089 XOR 2 1 3485 3928 4090 XOR 2 1 3911 4090 4091 XOR 2 1 3941 4091 4092 XOR 2 1 3749 4092 4093 AND 2 1 3752 4093 4094 XOR 2 1 3760 4094 4095 AND 2 1 4095 4092 4096 XOR 2 1 3800 3984 4097 XOR 2 1 3838 4097 4098 XOR 2 1 3765 4098 4099 AND 2 1 3769 4099 4100 XOR 2 1 3779 4100 4101 AND 2 1 4101 4098 4102 XOR 2 1 4096 4102 4103 XOR 2 1 4089 4103 4104 XOR 2 1 4076 4104 4105 XOR 2 1 4067 4105 4106 XOR 2 1 3859 3871 4107 AND 2 1 3402 4069 4108 XOR 2 1 4090 4108 4109 XOR 2 1 4107 4109 4110 XOR 2 1 3866 4110 4111 AND 2 1 3859 4111 4112 XOR 2 1 3989 4112 4113 XOR 2 1 3877 3891 4114 AND 2 1 3797 3863 4115 XOR 2 1 3800 4030 4116 XOR 2 1 3810 4116 4117 XOR 2 1 4115 4117 4118 XOR 2 1 4114 4118 4119 XOR 2 1 3886 4119 4120 AND 2 1 3877 4120 4121 XOR 2 1 3961 4121 4122 XOR 2 1 4113 4122 4123 XOR 2 1 3933 4123 4124 XOR 2 1 4106 4124 4125 XOR 2 1 4000 4125 4126 XOR 2 1 3899 4126 4127 XOR 2 1 3898 4127 4128 AND 2 1 184 376 4129 XOR 2 1 3821 3931 4130 XOR 2 1 3841 3944 4131 XOR 2 1 4130 4131 4132 XOR 2 1 3918 4132 4133 XOR 2 1 3969 3979 4134 XOR 2 1 3987 3994 4135 XOR 2 1 4134 4135 4136 XOR 2 1 3959 4136 4137 XOR 2 1 4133 4137 4138 XOR 2 1 3672 4019 4139 XOR 2 1 4044 4058 4140 XOR 2 1 4139 4140 4141 XOR 2 1 4007 4141 4142 XOR 2 1 4080 4086 4143 XOR 2 1 4094 4100 4144 XOR 2 1 4143 4144 4145 XOR 2 1 4074 4145 4146 XOR 2 1 4142 4146 4147 XOR 2 1 3987 4110 4148 XOR 2 1 3959 4119 4149 XOR 2 1 4148 4149 4150 XOR 2 1 3931 4150 4151 XOR 2 1 4147 4151 4152 XOR 2 1 4138 4152 4153 XOR 2 1 4129 4153 4154 XOR 2 1 4128 4154 4155 XOR 2 1 138 330 4156 XOR 2 1 3664 4040 4157 XOR 2 1 3844 4157 4158 XOR 2 1 2757 3754 4159 XOR 2 1 3561 4159 4160 XOR 2 1 3835 4160 4161 XOR 2 1 3771 4161 4162 XOR 2 1 4158 4162 4163 AND 2 1 3007 3462 4164 XOR 2 1 3319 4164 4165 XOR 2 1 3461 4165 4166 XOR 2 1 3761 4166 4167 XOR 2 1 4163 4167 4168 XOR 2 1 4058 4168 4169 XOR 2 1 3520 4040 4170 XOR 2 1 3553 3888 4171 XOR 2 1 4170 4171 4172 XOR 2 1 3529 4160 4173 XOR 2 1 3564 3798 4174 XOR 2 1 4173 4174 4175 XOR 2 1 4172 4175 4176 AND 2 1 3542 4165 4177 XOR 2 1 3584 3783 4178 XOR 2 1 4177 4178 4179 XOR 2 1 4176 4179 4180 XOR 2 1 2215 4038 4181 XOR 2 1 3869 4181 4182 XOR 2 1 3401 3660 4183 XOR 2 1 3429 3776 4184 XOR 2 1 2774 4184 4185 XOR 2 1 4183 4185 4186 XOR 2 1 4182 4186 4187 AND 2 1 3446 3669 4188 XOR 2 1 3450 3763 4189 XOR 2 1 3010 4189 4190 XOR 2 1 4188 4190 4191 XOR 2 1 4187 4191 4192 XOR 2 1 4180 4192 4193 XOR 2 1 4169 4193 4194 XOR 2 1 3592 4194 4195 XOR 2 1 3895 4195 4196 XOR 2 1 3260 4165 4197 XOR 2 1 3019 4197 4198 XOR 2 1 4189 4198 4199 XOR 2 1 3277 4170 4200 XOR 2 1 3875 4200 4201 XOR 2 1 4199 4201 4202 AND 2 1 3241 4160 4203 XOR 2 1 2932 4203 4204 XOR 2 1 4184 4204 4205 XOR 2 1 4202 4205 4206 XOR 2 1 3804 4206 4207 XOR 2 1 4131 4207 4208 XOR 2 1 3728 4208 4209 XOR 2 1 4048 4119 4210 XOR 2 1 4135 4210 4211 XOR 2 1 3821 4211 4212 XOR 2 1 4209 4212 4213 XOR 2 1 3355 3543 4214 XOR 2 1 3789 4214 4215 XOR 2 1 3715 3907 4216 XOR 2 1 3702 4216 4217 XOR 2 1 4215 4217 4218 AND 2 1 2413 3638 4219 XOR 2 1 3682 4219 4220 XOR 2 1 4218 4220 4221 XOR 2 1 4180 4221 4222 XOR 2 1 3618 4222 4223 XOR 2 1 3872 4223 4224 XOR 2 1 4213 4224 4225 XOR 2 1 4196 4225 4226 XOR 2 1 4156 4226 4227 XOR 2 1 3413 234 4228 XOR 2 1 4054 4062 4229 AND 2 1 4052 4229 4230 XOR 2 1 4158 4167 4231 AND 2 1 3915 3976 4232 XOR 2 1 3649 4033 4233 XOR 2 1 4232 4233 4234 XOR 2 1 4231 4234 4235 XOR 2 1 4162 4235 4236 AND 2 1 4158 4236 4237 XOR 2 1 4230 4237 4238 XOR 2 1 4172 4179 4239 AND 2 1 3954 4033 4240 XOR 2 1 3878 3965 4241 XOR 2 1 4240 4241 4242 XOR 2 1 4239 4242 4243 XOR 2 1 4175 4243 4244 AND 2 1 4172 4244 4245 XOR 2 1 4182 4191 4246 AND 2 1 3903 4031 4247 XOR 2 1 3864 4247 4248 XOR 2 1 4246 4248 4249 XOR 2 1 4186 4249 4250 AND 2 1 4182 4250 4251 XOR 2 1 4245 4251 4252 XOR 2 1 4238 4252 4253 XOR 2 1 3971 4253 4254 XOR 2 1 4124 4254 4255 XOR 2 1 4192 4249 4256 AND 2 1 4186 4256 4257 XOR 2 1 4096 4257 4258 XOR 2 1 3359 4177 4259 XOR 2 1 3889 4259 4260 XOR 2 1 3721 3955 4261 XOR 2 1 3801 4261 4262 XOR 2 1 4260 4262 4263 AND 2 1 3273 3521 4264 XOR 2 1 3784 4264 4265 XOR 2 1 4263 4265 4266 XOR 2 1 4260 4265 4267 AND 2 1 3338 4173 4268 XOR 2 1 3884 4268 4269 XOR 2 1 4267 4269 4270 XOR 2 1 4266 4270 4271 AND 2 1 4271 4262 4272 XOR 2 1 4076 4272 4273 XOR 2 1 4258 4273 4274 XOR 2 1 4011 4274 4275 XOR 2 1 3457 3944 4276 AND 2 1 3443 4276 4277 XOR 2 1 3515 3841 4278 AND 2 1 3498 4278 4279 XOR 2 1 4277 4279 4280 XOR 2 1 3883 4069 4281 XOR 2 1 3288 4281 4282 XOR 2 1 3956 4282 4283 XOR 2 1 3786 4283 4284 AND 2 1 3791 4284 4285 XOR 2 1 3804 4285 4286 AND 2 1 4286 4283 4287 XOR 2 1 3814 4240 4288 XOR 2 1 4116 4288 4289 XOR 2 1 4199 4289 4290 AND 2 1 4201 4290 4291 XOR 2 1 4206 4291 4292 AND 2 1 4292 4289 4293 XOR 2 1 4287 4293 4294 XOR 2 1 4280 4294 4295 XOR 2 1 4082 4295 4296 XOR 2 1 4275 4296 4297 XOR 2 1 4215 4220 4298 AND 2 1 3324 3530 4299 XOR 2 1 4281 4299 4300 XOR 2 1 4298 4300 4301 XOR 2 1 4217 4301 4302 AND 2 1 4215 4302 4303 XOR 2 1 4245 4303 4304 XOR 2 1 3982 4304 4305 XOR 2 1 4112 4305 4306 XOR 2 1 4297 4306 4307 XOR 2 1 4255 4307 4308 XOR 2 1 4228 4308 4309 XOR 2 1 4227 4309 4310 AND 2 1 170 362 4311 XOR 2 1 4062 4235 4312 XOR 2 1 4243 4249 4313 XOR 2 1 4312 4313 4314 XOR 2 1 3969 4314 4315 XOR 2 1 4151 4315 4316 XOR 2 1 4094 4192 4317 XOR 2 1 4074 4266 4318 XOR 2 1 4317 4318 4319 XOR 2 1 3672 4319 4320 XOR 2 1 4285 4291 4321 XOR 2 1 3516 4321 4322 XOR 2 1 4080 4322 4323 XOR 2 1 4320 4323 4324 XOR 2 1 4243 4301 4325 XOR 2 1 4134 4325 4326 XOR 2 1 4110 4326 4327 XOR 2 1 4324 4327 4328 XOR 2 1 4316 4328 4329 XOR 2 1 4311 4329 4330 XOR 2 1 4310 4330 4331 XOR 2 1 150 342 4332 XOR 2 1 3892 4044 4333 XOR 2 1 3673 4333 4334 XOR 2 1 3366 4334 4335 XOR 2 1 4080 4168 4336 XOR 2 1 4317 4336 4337 XOR 2 1 3617 4337 4338 XOR 2 1 4335 4338 4339 XOR 2 1 4110 4206 4340 XOR 2 1 3854 4340 4341 XOR 2 1 4341 3745 4342 XOR 2 1 3994 4023 4343 XOR 2 1 4048 4062 4344 XOR 2 1 4343 4344 4345 XOR 2 1 3832 4345 4346 XOR 2 1 4342 4346 4347 XOR 2 1 3617 4074 4348 XOR 2 1 4193 4348 4349 XOR 2 1 3636 4349 4350 XOR 2 1 4347 4350 4351 XOR 2 1 4339 4351 4352 XOR 2 1 4332 4352 4353 XOR 2 1 1763 246 4354 XOR 2 1 4036 4048 4355 AND 2 1 4028 4355 4356 XOR 2 1 4121 4356 4357 XOR 2 1 3997 4357 4358 XOR 2 1 3935 4358 4359 XOR 2 1 3728 4078 4360 AND 2 1 3708 4360 4361 XOR 2 1 4237 4361 4362 XOR 2 1 3760 4092 4363 AND 2 1 3749 4363 4364 XOR 2 1 4251 4364 4365 XOR 2 1 4362 4365 4366 XOR 2 1 3981 4366 4367 XOR 2 1 4359 4367 4368 XOR 2 1 3687 4188 4369 XOR 2 1 4041 4369 4370 XOR 2 1 3740 4115 4371 XOR 2 1 4203 4371 4372 XOR 2 1 4370 4372 4373 AND 2 1 3731 3874 4374 XOR 2 1 4197 4374 4375 XOR 2 1 4373 4375 4376 XOR 2 1 4370 4375 4377 AND 2 1 4002 4183 4378 XOR 2 1 4034 4378 4379 XOR 2 1 4377 4379 4380 XOR 2 1 4376 4380 4381 AND 2 1 4381 4372 4382 XOR 2 1 4272 4382 4383 XOR 2 1 4103 4383 4384 XOR 2 1 4025 4384 4385 XOR 2 1 4030 4232 4386 XOR 2 1 3991 4386 4387 XOR 2 1 3843 4387 4388 AND 2 1 3848 4388 4389 XOR 2 1 3853 4389 4390 AND 2 1 4390 4387 4391 XOR 2 1 4279 4391 4392 XOR 2 1 3872 4110 4393 AND 2 1 3866 4393 4394 XOR 2 1 4293 4394 4395 XOR 2 1 4392 4395 4396 XOR 2 1 4088 4396 4397 XOR 2 1 4385 4397 4398 XOR 2 1 3705 4072 4399 AND 2 1 3684 4399 4400 XOR 2 1 3981 4400 4401 XOR 2 1 4252 4401 4402 XOR 2 1 3989 4402 4403 XOR 2 1 4398 4403 4404 XOR 2 1 4368 4404 4405 XOR 2 1 4354 4405 4406 XOR 2 1 4353 4406 4407 AND 2 1 182 374 4408 XOR 2 1 3728 4235 4409 XOR 2 1 3760 4249 4410 XOR 2 1 4409 4410 4411 XOR 2 1 3979 4411 4412 XOR 2 1 4212 4412 4413 XOR 2 1 4266 4376 4414 XOR 2 1 4144 4414 4415 XOR 2 1 4019 4415 4416 XOR 2 1 3515 4389 4417 XOR 2 1 3872 4291 4418 XOR 2 1 4417 4418 4419 XOR 2 1 4086 4419 4420 XOR 2 1 4416 4420 4421 XOR 2 1 3705 3979 4422 XOR 2 1 4313 4422 4423 XOR 2 1 3987 4423 4424 XOR 2 1 4421 4424 4425 XOR 2 1 4413 4425 4426 XOR 2 1 4408 4426 4427 XOR 2 1 4407 4427 4428 XOR 2 1 4331 4428 4429 XOR 2 1 135 327 4430 XOR 2 1 3366 4007 4431 XOR 2 1 3893 4431 4432 XOR 2 1 3457 4432 4433 XOR 2 1 4350 4433 4434 XOR 2 1 3015 3666 4435 XOR 2 1 3690 4435 4436 XOR 2 1 3466 3593 4437 XOR 2 1 3822 4437 4438 XOR 2 1 4436 4438 4439 AND 2 1 2833 3654 4440 XOR 2 1 4070 4440 4441 XOR 2 1 4439 4441 4442 XOR 2 1 4442 3745 4443 XOR 2 1 4207 4443 4444 XOR 2 1 3760 4444 4445 XOR 2 1 3832 4301 4446 XOR 2 1 4210 4446 4447 XOR 2 1 3841 4447 4448 XOR 2 1 4445 4448 4449 XOR 2 1 3675 4449 4450 XOR 2 1 4434 4450 4451 XOR 2 1 4430 4451 4452 XOR 2 1 3044 231 4453 XOR 2 1 3832 4005 4454 AND 2 1 3824 4454 4455 XOR 2 1 3935 4455 4456 XOR 2 1 4122 4456 4457 XOR 2 1 3946 4457 4458 XOR 2 1 4403 4458 4459 XOR 2 1 3509 3610 4460 XOR 2 1 3825 4460 4461 XOR 2 1 3756 3811 4462 XOR 2 1 3741 4462 4463 XOR 2 1 4461 4463 4464 AND 2 1 3270 3464 4465 XOR 2 1 3732 4465 4466 XOR 2 1 4464 4466 4467 XOR 2 1 4461 4466 4468 AND 2 1 3490 3600 4469 XOR 2 1 4003 4469 4470 XOR 2 1 4468 4470 4471 XOR 2 1 4467 4471 4472 AND 2 1 4472 4463 4473 XOR 2 1 4025 4473 4474 XOR 2 1 4273 4474 4475 XOR 2 1 4050 4475 4476 XOR 2 1 3837 3973 4477 XOR 2 1 3829 4477 4478 XOR 2 1 4436 4478 4479 AND 2 1 4438 4479 4480 XOR 2 1 4442 4480 4481 AND 2 1 4481 4478 4482 XOR 2 1 4088 4482 4483 XOR 2 1 4294 4483 4484 XOR 2 1 4096 4484 4485 XOR 2 1 4476 4485 4486 XOR 2 1 3999 4486 4487 XOR 2 1 4459 4487 4488 XOR 2 1 4453 4488 4489 XOR 2 1 4452 4489 4490 AND 2 1 167 359 4491 XOR 2 1 3833 4149 4492 XOR 2 1 3944 4492 4493 XOR 2 1 4424 4493 4494 XOR 2 1 4019 4467 4495 XOR 2 1 4318 4495 4496 XOR 2 1 4044 4496 4497 XOR 2 1 4086 4480 4498 XOR 2 1 4321 4498 4499 XOR 2 1 4094 4499 4500 XOR 2 1 4497 4500 4501 XOR 2 1 4137 4501 4502 XOR 2 1 4494 4502 4503 XOR 2 1 4491 4503 4504 XOR 2 1 4490 4504 4505 XOR 2 1 147 339 4506 XOR 2 1 4007 4221 4507 XOR 2 1 4333 4507 4508 XOR 2 1 3515 4508 4509 XOR 2 1 4320 4509 4510 XOR 2 1 3959 4442 4511 XOR 2 1 4340 4511 4512 XOR 2 1 3779 4512 4513 XOR 2 1 4325 4344 4514 XOR 2 1 3853 4514 4515 XOR 2 1 4513 4515 4516 XOR 2 1 4139 4348 4517 XOR 2 1 3892 4517 4518 XOR 2 1 4516 4518 4519 XOR 2 1 4510 4519 4520 XOR 2 1 4506 4520 4521 XOR 2 1 2457 243 4522 XOR 2 1 4303 4455 4523 XOR 2 1 4357 4523 4524 XOR 2 1 3948 4524 4525 XOR 2 1 4262 4270 4526 AND 2 1 4260 4526 4527 XOR 2 1 4400 4527 4528 XOR 2 1 4365 4528 4529 XOR 2 1 3996 4529 4530 XOR 2 1 4525 4530 4531 XOR 2 1 3031 3918 4532 AND 2 1 3005 4532 4533 XOR 2 1 4473 4533 4534 XOR 2 1 4383 4534 4535 XOR 2 1 4064 4535 4536 XOR 2 1 3549 3959 4537 AND 2 1 3538 4537 4538 XOR 2 1 4482 4538 4539 XOR 2 1 4395 4539 4540 XOR 2 1 4102 4540 4541 XOR 2 1 4536 4541 4542 XOR 2 1 4015 4023 4543 AND 2 1 4013 4543 4544 XOR 2 1 3996 4544 4545 XOR 2 1 4401 4545 4546 XOR 2 1 4121 4546 4547 XOR 2 1 4542 4547 4548 XOR 2 1 4531 4548 4549 XOR 2 1 4522 4549 4550 XOR 2 1 4521 4550 4551 AND 2 1 179 371 4552 XOR 2 1 3705 4270 4553 XOR 2 1 4410 4553 4554 XOR 2 1 3994 4554 4555 XOR 2 1 4448 4555 4556 XOR 2 1 3031 4467 4557 XOR 2 1 4414 4557 4558 XOR 2 1 4058 4558 4559 XOR 2 1 3549 4480 4560 XOR 2 1 4418 4560 4561 XOR 2 1 4100 4561 4562 XOR 2 1 4559 4562 4563 XOR 2 1 4343 4422 4564 XOR 2 1 4119 4564 4565 XOR 2 1 4563 4565 4566 XOR 2 1 4556 4566 4567 XOR 2 1 4552 4567 4568 XOR 2 1 4551 4568 4569 XOR 2 1 4505 4569 4570 XOR 2 1 4429 4570 4571 XOR 2 1 4155 4571 4572 XOR 2 1 153 345 4573 XOR 2 1 4336 4557 4574 XOR 2 1 4180 4574 4575 XOR 2 1 3675 4575 4576 XOR 2 1 3856 4565 4577 XOR 2 1 4195 4577 4578 XOR 2 1 4576 4578 4579 XOR 2 1 4573 4579 4580 XOR 2 1 3308 249 4581 XOR 2 1 4463 4471 4582 AND 2 1 4461 4582 4583 XOR 2 1 3920 4583 4584 XOR 2 1 4362 4584 4585 XOR 2 1 4245 4585 4586 XOR 2 1 3999 4586 4587 XOR 2 1 3366 3821 4588 AND 2 1 3346 4588 4589 XOR 2 1 4009 4589 4590 XOR 2 1 4392 4590 4591 XOR 2 1 4287 4591 4592 XOR 2 1 4105 4592 4593 XOR 2 1 4254 4593 4594 XOR 2 1 4587 4594 4595 XOR 2 1 4581 4595 4596 XOR 2 1 4580 4596 4597 AND 2 1 185 377 4598 XOR 2 1 3918 4471 4599 XOR 2 1 4409 4599 4600 XOR 2 1 4243 4600 4601 XOR 2 1 4137 4601 4602 XOR 2 1 4417 4431 4603 XOR 2 1 4285 4603 4604 XOR 2 1 4146 4604 4605 XOR 2 1 4315 4605 4606 XOR 2 1 4602 4606 4607 XOR 2 1 4598 4607 4608 XOR 2 1 4597 4608 4609 XOR 2 1 139 331 4610 XOR 2 1 3263 4100 4611 XOR 2 1 3457 4376 4612 XOR 2 1 4611 4612 4613 XOR 2 1 4467 4613 4614 XOR 2 1 4195 4614 4615 XOR 2 1 4413 4559 4616 XOR 2 1 4615 4616 4617 XOR 2 1 4610 4617 4618 XOR 2 1 3377 235 4619 XOR 2 1 3779 4098 4620 AND 2 1 3765 4620 4621 XOR 2 1 3933 4621 4622 XOR 2 1 4372 4380 4623 AND 2 1 4370 4623 4624 XOR 2 1 3946 4624 4625 XOR 2 1 4622 4625 4626 XOR 2 1 4583 4626 4627 XOR 2 1 4254 4627 4628 XOR 2 1 3636 3987 4629 AND 2 1 3630 4629 4630 XOR 2 1 4011 4630 4631 XOR 2 1 3892 4119 4632 AND 2 1 3886 4632 4633 XOR 2 1 4050 4633 4634 XOR 2 1 4631 4634 4635 XOR 2 1 4589 4635 4636 XOR 2 1 4296 4636 4637 XOR 2 1 4527 4624 4638 XOR 2 1 4584 4638 4639 XOR 2 1 4230 4639 4640 XOR 2 1 4637 4640 4641 XOR 2 1 4628 4641 4642 XOR 2 1 4619 4642 4643 XOR 2 1 4618 4643 4644 AND 2 1 171 363 4645 XOR 2 1 3779 3931 4646 XOR 2 1 3944 4380 4647 XOR 2 1 4646 4647 4648 XOR 2 1 4471 4648 4649 XOR 2 1 4315 4649 4650 XOR 2 1 4323 4335 4651 XOR 2 1 4270 4380 4652 XOR 2 1 4599 4652 4653 XOR 2 1 4062 4653 4654 XOR 2 1 4651 4654 4655 XOR 2 1 4650 4655 4656 XOR 2 1 4645 4656 4657 XOR 2 1 4644 4657 4658 XOR 2 1 151 343 4659 XOR 2 1 3518 4338 4660 XOR 2 1 3782 4346 4661 XOR 2 1 3031 4285 4662 XOR 2 1 4612 4662 4663 XOR 2 1 4168 4663 4664 XOR 2 1 4661 4664 4665 XOR 2 1 4660 4665 4666 XOR 2 1 4659 4666 4667 XOR 2 1 2242 247 4668 XOR 2 1 3951 4367 4669 XOR 2 1 4067 4397 4670 XOR 2 1 3804 4283 4671 AND 2 1 3786 4671 4672 XOR 2 1 3920 4672 4673 XOR 2 1 4625 4673 4674 XOR 2 1 4237 4674 4675 XOR 2 1 4670 4675 4676 XOR 2 1 4669 4676 4677 XOR 2 1 4668 4677 4678 XOR 2 1 4667 4678 4679 AND 2 1 183 375 4680 XOR 2 1 4133 4412 4681 XOR 2 1 4142 4420 4682 XOR 2 1 3804 3918 4683 XOR 2 1 4647 4683 4684 XOR 2 1 4235 4684 4685 XOR 2 1 4682 4685 4686 XOR 2 1 4681 4686 4687 XOR 2 1 4680 4687 4688 XOR 2 1 4679 4688 4689 XOR 2 1 4658 4689 4690 XOR 2 1 136 328 4691 XOR 2 1 4350 4664 4692 XOR 2 1 4556 4575 4693 XOR 2 1 4692 4693 4694 XOR 2 1 4691 4694 4695 XOR 2 1 1947 232 4696 XOR 2 1 4403 4675 4697 XOR 2 1 4221 4301 4698 AND 2 1 4217 4698 4699 XOR 2 1 4009 4699 4700 XOR 2 1 4634 4700 4701 XOR 2 1 4279 4701 4702 XOR 2 1 4485 4702 4703 XOR 2 1 4586 4703 4704 XOR 2 1 4697 4704 4705 XOR 2 1 4696 4705 4706 XOR 2 1 4695 4706 4707 AND 2 1 168 360 4708 XOR 2 1 4424 4685 4709 XOR 2 1 4500 4509 4710 XOR 2 1 4601 4710 4711 XOR 2 1 4709 4711 4712 XOR 2 1 4708 4712 4713 XOR 2 1 4707 4713 4714 XOR 2 1 148 340 4715 XOR 2 1 3780 4652 4716 XOR 2 1 4023 4716 4717 XOR 2 1 4515 4717 4718 XOR 2 1 4143 4662 4719 XOR 2 1 4192 4719 4720 XOR 2 1 4718 4720 4721 XOR 2 1 4324 4721 4722 XOR 2 1 4715 4722 4723 XOR 2 1 2046 244 4724 XOR 2 1 4206 4289 4725 AND 2 1 4199 4725 4726 XOR 2 1 4672 4726 4727 XOR 2 1 3949 4727 4728 XOR 2 1 4361 4728 4729 XOR 2 1 4530 4729 4730 XOR 2 1 4180 4243 4731 AND 2 1 4175 4731 4732 XOR 2 1 4699 4732 4733 XOR 2 1 4065 4733 4734 XOR 2 1 4391 4734 4735 XOR 2 1 4541 4735 4736 XOR 2 1 4084 3745 4737 AND 2 1 3734 4737 4738 XOR 2 1 4361 4738 4739 XOR 2 1 4673 4739 4740 XOR 2 1 4251 4740 4741 XOR 2 1 4736 4741 4742 XOR 2 1 4730 4742 4743 XOR 2 1 4724 4743 4744 XOR 2 1 4723 4744 4745 AND 2 1 180 372 4746 XOR 2 1 4209 4555 4747 XOR 2 1 4140 4222 4748 XOR 2 1 4389 4748 4749 XOR 2 1 4562 4749 4750 XOR 2 1 3746 4683 4751 XOR 2 1 4249 4751 4752 XOR 2 1 4750 4752 4753 XOR 2 1 4747 4753 4754 XOR 2 1 4746 4754 4755 XOR 2 1 4745 4755 4756 XOR 2 1 4714 4756 4757 XOR 2 1 4690 4757 4758 XOR 2 1 4609 4758 4759 XOR 2 1 4572 4759 4760 XOR 2 1 131 323 4761 XOR 2 1 4023 4471 4762 XOR 2 1 4312 4762 4763 XOR 2 1 4301 4763 4764 XOR 2 1 4443 4646 4765 XOR 2 1 4270 4765 4766 XOR 2 1 4764 4766 4767 XOR 2 1 4323 4767 4768 XOR 2 1 4605 4768 4769 XOR 2 1 4761 4769 4770 XOR 2 1 163 355 4771 XOR 2 1 3592 4389 4772 XOR 2 1 3873 4772 4773 XOR 2 1 4480 4773 4774 XOR 2 1 4169 4495 4775 XOR 2 1 4221 4775 4776 XOR 2 1 4774 4776 4777 XOR 2 1 4209 4777 4778 XOR 2 1 3857 4778 4779 XOR 2 1 4771 4779 4780 XOR 2 1 4770 4780 4781 AND 2 1 2685 195 4782 XOR 2 1 4544 4583 4783 XOR 2 1 4238 4783 4784 XOR 2 1 4303 4784 4785 XOR 2 1 4442 4478 4786 AND 2 1 4436 4786 4787 XOR 2 1 4738 4787 4788 XOR 2 1 4622 4788 4789 XOR 2 1 4527 4789 4790 XOR 2 1 4785 4790 4791 XOR 2 1 4296 4791 4792 XOR 2 1 4593 4792 4793 XOR 2 1 4782 4793 4794 XOR 2 1 4781 4794 4795 XOR 2 1 149 341 4796 XOR 2 1 4342 4717 4797 XOR 2 1 4433 4797 4798 XOR 2 1 4651 4798 4799 XOR 2 1 4796 4799 4800 XOR 2 1 181 373 4801 XOR 2 1 4416 4749 4802 XOR 2 1 4493 4802 4803 XOR 2 1 4213 4803 4804 XOR 2 1 4801 4804 4805 XOR 2 1 4800 4805 4806 AND 2 1 2306 213 4807 XOR 2 1 4364 4621 4808 XOR 2 1 4638 4808 4809 XOR 2 1 4544 4809 4810 XOR 2 1 3853 4387 4811 AND 2 1 3843 4811 4812 XOR 2 1 3948 4812 4813 XOR 2 1 4112 4726 4814 XOR 2 1 4813 4814 4815 XOR 2 1 4738 4815 4816 XOR 2 1 4810 4816 4817 XOR 2 1 4538 4633 4818 XOR 2 1 4590 4818 4819 XOR 2 1 4277 4819 4820 XOR 2 1 4817 4820 4821 XOR 2 1 4637 4821 4822 XOR 2 1 4807 4822 4823 XOR 2 1 4806 4823 4824 XOR 2 1 129 321 4825 XOR 2 1 3853 3969 4826 XOR 2 1 4148 4826 4827 XOR 2 1 4442 4827 4828 XOR 2 1 4649 4828 4829 XOR 2 1 4509 4829 4830 XOR 2 1 4682 4830 4831 XOR 2 1 4825 4831 4832 XOR 2 1 161 353 4833 XOR 2 1 4448 4615 4834 XOR 2 1 4347 4834 4835 XOR 2 1 4833 4835 4836 XOR 2 1 4832 4836 4837 AND 2 1 2414 193 4838 XOR 2 1 3971 4812 4839 XOR 2 1 4113 4839 4840 XOR 2 1 4787 4840 4841 XOR 2 1 4627 4841 4842 XOR 2 1 4702 4842 4843 XOR 2 1 4670 4843 4844 XOR 2 1 4838 4844 4845 XOR 2 1 4837 4845 4846 XOR 2 1 4824 4846 4847 XOR 2 1 146 338 4848 XOR 2 1 4513 4654 4849 XOR 2 1 4604 4849 4850 XOR 2 1 4710 4850 4851 XOR 2 1 4848 4851 4852 XOR 2 1 178 370 4853 XOR 2 1 4224 4559 4854 XOR 2 1 3856 4854 4855 XOR 2 1 4449 4855 4856 XOR 2 1 4853 4856 4857 XOR 2 1 4852 4857 4858 AND 2 1 2366 210 4859 XOR 2 1 3961 4787 4860 XOR 2 1 4814 4860 4861 XOR 2 1 4621 4861 4862 XOR 2 1 4640 4862 4863 XOR 2 1 4592 4863 4864 XOR 2 1 4703 4864 4865 XOR 2 1 4859 4865 4866 XOR 2 1 4858 4866 4867 XOR 2 1 4151 4685 4868 XOR 2 1 4507 4772 4869 XOR 2 1 4291 4869 4870 XOR 2 1 4868 4870 4871 XOR 2 1 4750 4871 4872 XOR 2 1 385 4872 4873 XOR 2 1 190 382 4874 XOR 2 1 4446 4826 4875 XOR 2 1 4206 4875 4876 XOR 2 1 4692 4876 4877 XOR 2 1 4516 4877 4878 XOR 2 1 4874 4878 4879 XOR 2 1 4873 4879 4880 AND 2 1 2847 222 4881 XOR 2 1 4124 4675 4882 XOR 2 1 3592 3969 4883 AND 2 1 3579 4883 4884 XOR 2 1 4391 4884 4885 XOR 2 1 4700 4885 4886 XOR 2 1 4293 4886 4887 XOR 2 1 4882 4887 4888 XOR 2 1 4736 4888 4889 XOR 2 1 4881 4889 4890 XOR 2 1 4880 4890 4891 XOR 2 1 4867 4891 4892 XOR 2 1 4847 4892 4893 XOR 2 1 4795 4893 4894 XOR 2 1 132 324 4895 XOR 2 1 4518 4604 4896 XOR 2 1 4766 4876 4897 XOR 2 1 4335 4897 4898 XOR 2 1 4896 4898 4899 XOR 2 1 4895 4899 4900 XOR 2 1 164 356 4901 XOR 2 1 4498 4611 4902 XOR 2 1 4266 4902 4903 XOR 2 1 4776 4903 4904 XOR 2 1 4212 4904 4905 XOR 2 1 4577 4905 4906 XOR 2 1 4901 4906 4907 XOR 2 1 4900 4907 4908 AND 2 1 3072 196 4909 XOR 2 1 3617 3979 4910 AND 2 1 3608 4910 4911 XOR 2 1 4076 4911 4912 XOR 2 1 4026 4912 4913 XOR 2 1 4633 4913 4914 XOR 2 1 4592 4914 4915 XOR 2 1 4523 4839 4916 XOR 2 1 4726 4916 4917 XOR 2 1 4790 4917 4918 XOR 2 1 4636 4918 4919 XOR 2 1 4915 4919 4920 XOR 2 1 4909 4920 4921 XOR 2 1 4908 4921 4922 XOR 2 1 4353 4427 4923 AND 2 1 390 214 4924 XOR 2 1 4168 4235 4925 AND 2 1 4162 4925 4926 XOR 2 1 4082 4926 4927 XOR 2 1 4258 4927 4928 XOR 2 1 4911 4928 4929 XOR 2 1 4636 4929 4930 XOR 2 1 4230 4356 4931 XOR 2 1 4545 4931 4932 XOR 2 1 4455 4932 4933 XOR 2 1 4816 4933 4934 XOR 2 1 4257 4732 4935 XOR 2 1 4912 4935 4936 XOR 2 1 4630 4936 4937 XOR 2 1 4934 4937 4938 XOR 2 1 4930 4938 4939 XOR 2 1 4924 4939 4940 XOR 2 1 4923 4940 4941 XOR 2 1 130 322 4942 XOR 2 1 4764 4828 4943 XOR 2 1 4320 4943 4944 XOR 2 1 4147 4944 4945 XOR 2 1 4942 4945 4946 XOR 2 1 162 354 4947 XOR 2 1 4614 4774 4948 XOR 2 1 4555 4948 4949 XOR 2 1 4661 4949 4950 XOR 2 1 4947 4950 4951 XOR 2 1 4946 4951 4952 AND 2 1 2557 194 4953 XOR 2 1 4785 4841 4954 XOR 2 1 4275 4954 4955 XOR 2 1 4106 4955 4956 XOR 2 1 4953 4956 4957 XOR 2 1 4952 4957 4958 XOR 2 1 4941 4958 4959 XOR 2 1 4521 4568 4960 AND 2 1 2451 211 4961 XOR 2 1 4275 4702 4962 XOR 2 1 4304 4931 4963 XOR 2 1 4812 4963 4964 XOR 2 1 4862 4964 4965 XOR 2 1 4914 4965 4966 XOR 2 1 4962 4966 4967 XOR 2 1 4961 4967 4968 XOR 2 1 4960 4968 4969 XOR 2 1 159 351 4970 XOR 2 1 4316 4497 4971 XOR 2 1 4802 4971 4972 XOR 2 1 4970 4972 4973 XOR 2 1 191 383 4974 XOR 2 1 3895 4664 4975 XOR 2 1 4553 4762 4976 XOR 2 1 4048 4976 4977 XOR 2 1 4975 4977 4978 XOR 2 1 4718 4978 4979 XOR 2 1 4974 4979 4980 XOR 2 1 4973 4980 4981 AND 2 1 2482 223 4982 XOR 2 1 4385 4735 4983 XOR 2 1 4255 4476 4984 XOR 2 1 4983 4984 4985 XOR 2 1 4982 4985 4986 XOR 2 1 4981 4986 4987 XOR 2 1 4969 4987 4988 XOR 2 1 4959 4988 4989 XOR 2 1 4922 4989 4990 XOR 2 1 4894 4990 4991 XOR 2 1 156 348 4992 XOR 2 1 4494 4776 4993 XOR 2 1 4854 4993 4994 XOR 2 1 4992 4994 4995 XOR 2 1 2535 252 4996 XOR 2 1 4306 4640 4997 XOR 2 1 4287 4533 4998 XOR 2 1 4089 4998 4999 XOR 2 1 4257 4999 5000 XOR 2 1 4820 5000 5001 XOR 2 1 4785 5001 5002 XOR 2 1 4997 5002 5003 XOR 2 1 4996 5003 5004 XOR 2 1 4995 5004 5005 AND 2 1 188 380 5006 XOR 2 1 4327 4654 5007 XOR 2 1 4433 4720 5008 XOR 2 1 4764 5008 5009 XOR 2 1 5007 5009 5010 XOR 2 1 5006 5010 5011 XOR 2 1 5005 5011 5012 XOR 2 1 4714 5012 5013 XOR 2 1 133 325 5014 XOR 2 1 4518 4720 5015 XOR 2 1 4876 4977 5016 XOR 2 1 4338 5016 5017 XOR 2 1 5015 5017 5018 XOR 2 1 5014 5018 5019 XOR 2 1 2131 229 5020 XOR 2 1 4547 4741 5021 XOR 2 1 3263 3931 5022 AND 2 1 3243 5022 5023 XOR 2 1 4102 5023 5024 XOR 2 1 4483 5024 5025 XOR 2 1 4272 5025 5026 XOR 2 1 4887 5026 5027 XOR 2 1 4367 5027 5028 XOR 2 1 5021 5028 5029 XOR 2 1 5020 5029 5030 XOR 2 1 5019 5030 5031 AND 2 1 165 357 5032 XOR 2 1 4565 4752 5033 XOR 2 1 4870 4903 5034 XOR 2 1 4412 5034 5035 XOR 2 1 5033 5035 5036 XOR 2 1 5032 5036 5037 XOR 2 1 5031 5037 5038 XOR 2 1 4609 5038 5039 XOR 2 1 5013 5039 5040 XOR 2 1 4331 5040 5041 XOR 2 1 4991 5041 5042 XOR 2 1 4760 5042 5043 XOR 2 1 3898 4154 5044 AND 2 1 3053 216 5045 XOR 2 1 4589 5023 5046 XOR 2 1 4280 5046 5047 XOR 2 1 4533 5047 5048 XOR 2 1 4884 4911 5049 XOR 2 1 4631 5049 5050 XOR 2 1 4538 5050 5051 XOR 2 1 5048 5051 5052 XOR 2 1 4739 4808 5053 XOR 2 1 4400 5053 5054 XOR 2 1 4456 4813 5055 XOR 2 1 4672 5055 5056 XOR 2 1 5054 5056 5057 XOR 2 1 4394 4630 5058 XOR 2 1 4818 5058 5059 XOR 2 1 5023 5059 5060 XOR 2 1 5057 5060 5061 XOR 2 1 5052 5061 5062 XOR 2 1 5045 5062 5063 XOR 2 1 5044 5063 5064 XOR 2 1 4127 5064 5065 AND 2 1 3898 5065 5066 XOR 2 1 4227 4330 5067 AND 2 1 3403 202 5068 XOR 2 1 4064 4926 5069 XOR 2 1 4935 5069 5070 XOR 2 1 4884 5070 5071 XOR 2 1 5060 5071 5072 XOR 2 1 4359 4729 5073 XOR 2 1 4733 5049 5074 XOR 2 1 4394 5074 5075 XOR 2 1 5073 5075 5076 XOR 2 1 5072 5076 5077 XOR 2 1 5068 5077 5078 XOR 2 1 5067 5078 5079 XOR 2 1 4309 5079 5080 AND 2 1 4227 5080 5081 XOR 2 1 4406 4941 5082 AND 2 1 4353 5082 5083 XOR 2 1 5081 5083 5084 XOR 2 1 4452 4504 5085 AND 2 1 3032 199 5086 XOR 2 1 4820 4937 5087 XOR 2 1 4727 4788 5088 XOR 2 1 4364 5088 5089 XOR 2 1 4525 5089 5090 XOR 2 1 5051 5090 5091 XOR 2 1 5087 5091 5092 XOR 2 1 5086 5092 5093 XOR 2 1 5085 5093 5094 XOR 2 1 4489 5094 5095 AND 2 1 4452 5095 5096 XOR 2 1 4550 4969 5097 AND 2 1 4521 5097 5098 XOR 2 1 5096 5098 5099 XOR 2 1 5084 5099 5100 XOR 2 1 5066 5100 5101 XOR 2 1 4580 4608 5102 AND 2 1 3298 217 5103 XOR 2 1 4534 4927 5104 XOR 2 1 4732 5104 5105 XOR 2 1 5051 5105 5106 XOR 2 1 4547 5056 5107 XOR 2 1 5071 5107 5108 XOR 2 1 5106 5108 5109 XOR 2 1 5103 5109 5110 XOR 2 1 5102 5110 5111 XOR 2 1 4596 5111 5112 AND 2 1 4580 5112 5113 XOR 2 1 4618 4657 5114 AND 2 1 3372 203 5115 XOR 2 1 4277 4382 5116 XOR 2 1 5024 5116 5117 XOR 2 1 4473 5117 5118 XOR 2 1 5071 5118 5119 XOR 2 1 4368 4536 5120 XOR 2 1 5119 5120 5121 XOR 2 1 5115 5121 5122 XOR 2 1 5114 5122 5123 XOR 2 1 4643 5123 5124 AND 2 1 4618 5124 5125 XOR 2 1 4667 4688 5126 AND 2 1 2216 215 5127 XOR 2 1 4929 5048 5128 XOR 2 1 4933 5054 5129 XOR 2 1 4998 5116 5130 XOR 2 1 4926 5130 5131 XOR 2 1 5129 5131 5132 XOR 2 1 5128 5132 5133 XOR 2 1 5127 5133 5134 XOR 2 1 5126 5134 5135 XOR 2 1 4678 5135 5136 AND 2 1 4667 5136 5137 XOR 2 1 5125 5137 5138 XOR 2 1 4695 4713 5139 AND 2 1 1791 200 5140 XOR 2 1 4937 5131 5141 XOR 2 1 4531 5105 5142 XOR 2 1 5141 5142 5143 XOR 2 1 5140 5143 5144 XOR 2 1 5139 5144 5145 XOR 2 1 4706 5145 5146 AND 2 1 4695 5146 5147 XOR 2 1 4723 4755 5148 AND 2 1 1969 212 5149 XOR 2 1 4810 4964 5150 XOR 2 1 5000 5150 5151 XOR 2 1 4297 5151 5152 XOR 2 1 5149 5152 5153 XOR 2 1 5148 5153 5154 XOR 2 1 4744 5154 5155 AND 2 1 4723 5155 5156 XOR 2 1 5147 5156 5157 XOR 2 1 5138 5157 5158 XOR 2 1 5113 5158 5159 XOR 2 1 5101 5159 5160 XOR 2 1 2564 226 5161 XOR 2 1 4885 5058 5162 XOR 2 1 4482 5162 5163 XOR 2 1 5118 5163 5164 XOR 2 1 4530 5164 5165 XOR 2 1 5129 5165 5166 XOR 2 1 5161 5166 5167 XOR 2 1 4946 5167 5168 AND 2 1 4951 5168 5169 XOR 2 1 4958 5169 5170 AND 2 1 5170 5167 5171 XOR 2 1 4756 5154 5172 AND 2 1 4744 5172 5173 XOR 2 1 128 320 5174 XOR 2 1 4500 4650 5175 XOR 2 1 4421 5175 5176 XOR 2 1 5174 5176 5177 XOR 2 1 2612 224 5178 XOR 2 1 5072 5089 5179 XOR 2 1 4817 5179 5180 XOR 2 1 5178 5180 5181 XOR 1 1 5181 5182 INV 2 1 5177 5182 5183 AND 2 1 160 352 5184 XOR 2 1 4196 4445 5185 XOR 2 1 4797 5185 5186 XOR 2 1 5184 5186 5187 XOR 2 1 5183 5187 5188 XOR 2 1 5177 5187 5189 AND 2 1 2606 192 5190 XOR 2 1 4485 4628 5191 XOR 2 1 4398 5191 5192 XOR 2 1 5190 5192 5193 XOR 2 1 5189 5193 5194 XOR 2 1 5188 5194 5195 AND 2 1 5195 5182 5196 XOR 2 1 5173 5196 5197 XOR 2 1 145 337 5198 XOR 2 1 4146 5007 5199 XOR 2 1 4501 5199 5200 XOR 2 1 5198 5200 5201 XOR 2 1 2194 241 5202 XOR 2 1 4528 4783 5203 XOR 2 1 4356 5203 5204 XOR 2 1 5089 5204 5205 XOR 2 1 4539 5046 5206 XOR 2 1 4382 5206 5207 XOR 2 1 5075 5207 5208 XOR 2 1 5054 5208 5209 XOR 2 1 5205 5209 5210 XOR 2 1 5202 5210 5211 XOR 2 1 5201 5211 5212 AND 2 1 177 369 5213 XOR 2 1 4445 4977 5214 XOR 2 1 3367 4560 5215 XOR 2 1 4376 5215 5216 XOR 2 1 4224 5216 5217 XOR 2 1 3782 5217 5218 XOR 2 1 5214 5218 5219 XOR 2 1 5213 5219 5220 XOR 2 1 5212 5220 5221 XOR 2 1 5201 5220 5222 AND 2 1 2147 209 5223 XOR 2 1 4105 4997 5224 XOR 2 1 4486 5224 5225 XOR 2 1 5223 5225 5226 XOR 2 1 5222 5226 5227 XOR 2 1 5221 5227 5228 AND 2 1 5228 5211 5229 XOR 2 1 157 349 5230 XOR 2 1 4709 4903 5231 XOR 2 1 4563 5231 5232 XOR 2 1 5230 5232 5233 XOR 2 1 2642 253 5234 XOR 2 1 4790 5087 5235 XOR 2 1 4863 5235 5236 XOR 2 1 5234 5236 5237 XOR 2 1 5233 5237 5238 AND 2 1 189 381 5239 XOR 2 1 4434 4766 5240 XOR 2 1 4849 5240 5241 XOR 2 1 5239 5241 5242 XOR 2 1 5238 5242 5243 XOR 2 1 5233 5242 5244 AND 2 1 2637 221 5245 XOR 2 1 4697 5026 5246 XOR 2 1 4542 5246 5247 XOR 2 1 5245 5247 5248 XOR 2 1 5244 5248 5249 XOR 2 1 5243 5249 5250 AND 2 1 5250 5237 5251 XOR 2 1 5229 5251 5252 XOR 2 1 5197 5252 5253 XOR 2 1 5171 5253 5254 XOR 2 1 2704 227 5255 XOR 2 1 4474 5069 5256 XOR 2 1 4699 5256 5257 XOR 2 1 5163 5257 5258 XOR 2 1 4729 5258 5259 XOR 2 1 5057 5259 5260 XOR 2 1 5255 5260 5261 XOR 2 1 4770 5261 5262 AND 2 1 4780 5262 5263 XOR 2 1 4795 5263 5264 AND 2 1 5264 5261 5265 XOR 2 1 2328 245 5266 XOR 2 1 4458 4983 5267 XOR 2 1 5073 5267 5268 XOR 2 1 5266 5268 5269 XOR 2 1 4800 5269 5270 AND 2 1 4805 5270 5271 XOR 2 1 4824 5271 5272 AND 2 1 5272 5269 5273 XOR 2 1 2425 225 5274 XOR 2 1 4525 5119 5275 XOR 2 1 4934 5275 5276 XOR 2 1 5274 5276 5277 XOR 2 1 4832 5277 5278 AND 2 1 4836 5278 5279 XOR 2 1 4846 5279 5280 AND 2 1 5280 5277 5281 XOR 2 1 5273 5281 5282 XOR 2 1 2398 242 5283 XOR 2 1 4536 5075 5284 XOR 2 1 5056 5284 5285 XOR 2 1 5090 5285 5286 XOR 2 1 5283 5286 5287 XOR 2 1 4852 5287 5288 AND 2 1 4857 5288 5289 XOR 2 1 4867 5289 5290 AND 2 1 5290 5287 5291 XOR 2 1 2857 254 5292 XOR 2 1 4917 5141 5293 XOR 2 1 4965 5293 5294 XOR 2 1 5292 5294 5295 XOR 2 1 4873 5295 5296 AND 2 1 4879 5296 5297 XOR 2 1 4891 5297 5298 AND 2 1 5298 5295 5299 XOR 2 1 5291 5299 5300 XOR 2 1 5282 5300 5301 XOR 2 1 5265 5301 5302 XOR 2 1 5254 5302 5303 XOR 2 1 4995 5011 5304 AND 2 1 386 220 5305 XOR 2 1 4459 5257 5306 XOR 2 1 5284 5306 5307 XOR 2 1 5305 5307 5308 XOR 2 1 5304 5308 5309 XOR 2 1 5004 5309 5310 AND 2 1 4995 5310 5311 XOR 2 1 5147 5311 5312 XOR 2 1 5019 5037 5313 AND 2 1 2069 197 5314 XOR 2 1 4914 5000 5315 XOR 2 1 4917 5204 5316 XOR 2 1 4929 5316 5317 XOR 2 1 5315 5317 5318 XOR 2 1 5314 5318 5319 XOR 2 1 5313 5319 5320 XOR 2 1 5030 5320 5321 AND 2 1 5019 5321 5322 XOR 2 1 5113 5322 5323 XOR 2 1 5312 5323 5324 XOR 2 1 5081 5324 5325 XOR 2 1 5303 5325 5326 XOR 2 1 5160 5326 5327 XOR 2 1 5043 5327 5328 AND 2 1 4941 5079 5329 XOR 2 1 4969 5094 5330 XOR 2 1 5329 5330 5331 XOR 2 1 5064 5331 5332 XOR 2 1 5123 5135 5333 XOR 2 1 5145 5154 5334 XOR 2 1 5333 5334 5335 XOR 2 1 5111 5335 5336 XOR 2 1 5332 5336 5337 XOR 2 1 4756 5188 5338 XOR 2 1 5221 5243 5339 XOR 2 1 5338 5339 5340 XOR 2 1 5169 5340 5341 XOR 2 1 5271 5279 5342 XOR 2 1 5289 5297 5343 XOR 2 1 5342 5343 5344 XOR 2 1 5263 5344 5345 XOR 2 1 5341 5345 5346 XOR 2 1 5145 5309 5347 XOR 2 1 5111 5320 5348 XOR 2 1 5347 5348 5349 XOR 2 1 5079 5349 5350 XOR 2 1 5346 5350 5351 XOR 2 1 5337 5351 5352 XOR 2 1 5328 5352 5353 XOR 2 1 137 329 5354 XOR 2 1 4747 5216 5355 XOR 2 1 4975 5355 5356 XOR 2 1 5354 5356 5357 XOR 2 1 2291 233 5358 XOR 2 1 3936 4860 5359 XOR 2 1 4624 5359 5360 XOR 2 1 4962 5360 5361 XOR 2 1 4882 5361 5362 XOR 2 1 5358 5362 5363 XOR 2 1 5357 5363 5364 AND 2 1 169 361 5365 XOR 2 1 4130 4511 5366 XOR 2 1 4380 5366 5367 XOR 2 1 4510 5367 5368 XOR 2 1 4868 5368 5369 XOR 2 1 5365 5369 5370 XOR 2 1 5364 5370 5371 XOR 2 1 5243 5371 5372 XOR 2 1 154 346 5373 XOR 2 1 4575 5216 5374 XOR 2 1 4614 5033 5375 XOR 2 1 5374 5375 5376 XOR 2 1 5373 5376 5377 XOR 2 1 2676 250 5378 XOR 2 1 4586 5360 5379 XOR 2 1 4627 4915 5380 XOR 2 1 5379 5380 5381 XOR 2 1 5378 5381 5382 XOR 2 1 5377 5382 5383 AND 2 1 186 378 5384 XOR 2 1 4601 5367 5385 XOR 2 1 4649 4896 5386 XOR 2 1 5385 5386 5387 XOR 2 1 5384 5387 5388 XOR 2 1 5383 5388 5389 XOR 2 1 134 326 5390 XOR 2 1 3518 5214 5391 XOR 2 1 5008 5391 5392 XOR 2 1 5390 5392 5393 XOR 2 1 2358 230 5394 XOR 2 1 4458 4741 5395 XOR 2 1 4476 4887 5396 XOR 2 1 3951 5396 5397 XOR 2 1 5395 5397 5398 XOR 2 1 5394 5398 5399 XOR 2 1 5393 5399 5400 AND 2 1 166 358 5401 XOR 2 1 4493 4752 5402 XOR 2 1 4497 4870 5403 XOR 2 1 4133 5403 5404 XOR 2 1 5402 5404 5405 XOR 2 1 5401 5405 5406 XOR 2 1 5400 5406 5407 XOR 2 1 5389 5407 5408 XOR 2 1 5372 5408 5409 XOR 2 1 4658 5409 5410 XOR 2 1 5041 5410 5411 XOR 2 1 144 336 5412 XOR 2 1 4327 5367 5413 XOR 2 1 4142 5413 5414 XOR 2 1 5403 5414 5415 XOR 2 1 5412 5415 5416 XOR 2 1 176 368 5417 XOR 2 1 4346 5374 5418 XOR 2 1 5016 5418 5419 XOR 2 1 5417 5419 5420 XOR 2 1 5416 5420 5421 AND 2 1 2507 208 5422 XOR 2 1 4306 5360 5423 XOR 2 1 4067 5423 5424 XOR 2 1 5396 5424 5425 XOR 2 1 5422 5425 5426 XOR 2 1 5421 5426 5427 XOR 2 1 4922 5427 5428 XOR 2 1 5330 5428 5429 XOR 2 1 4824 5429 5430 XOR 2 1 5227 5320 5431 XOR 2 1 5334 5431 5432 XOR 2 1 4941 5432 5433 XOR 2 1 5430 5433 5434 XOR 2 1 142 334 5435 XOR 2 1 4416 4602 5436 XOR 2 1 4904 5436 5437 XOR 2 1 5435 5437 5438 XOR 2 1 3102 238 5439 XOR 2 1 4810 5052 5440 XOR 2 1 4791 5440 5441 XOR 2 1 5439 5441 5442 XOR 2 1 5438 5442 5443 AND 2 1 174 366 5444 XOR 2 1 3676 4717 5445 XOR 2 1 4767 5445 5446 XOR 2 1 5444 5446 5447 XOR 2 1 5443 5447 5448 XOR 2 1 5389 5448 5449 XOR 2 1 4690 5449 5450 XOR 2 1 5012 5450 5451 XOR 2 1 5434 5451 5452 XOR 2 1 5411 5452 5453 XOR 2 1 5237 5249 5454 AND 2 1 5233 5454 5455 XOR 2 1 5357 5370 5456 AND 2 1 2255 201 5457 XOR 2 1 5060 5131 5458 XOR 2 1 4730 5207 5459 XOR 2 1 5458 5459 5460 XOR 2 1 5457 5460 5461 XOR 2 1 5456 5461 5462 XOR 2 1 5363 5462 5463 AND 2 1 5357 5463 5464 XOR 2 1 5455 5464 5465 XOR 2 1 5377 5388 5466 AND 2 1 2661 218 5467 XOR 2 1 5105 5207 5468 XOR 2 1 5021 5118 5469 XOR 2 1 5468 5469 5470 XOR 2 1 5467 5470 5471 XOR 2 1 5466 5471 5472 XOR 2 1 5382 5472 5473 AND 2 1 5377 5473 5474 XOR 2 1 5393 5406 5475 AND 2 1 2340 198 5476 XOR 2 1 5048 5205 5477 XOR 2 1 5001 5477 5478 XOR 2 1 5476 5478 5479 XOR 2 1 5475 5479 5480 XOR 2 1 5399 5480 5481 AND 2 1 5393 5481 5482 XOR 2 1 5474 5482 5483 XOR 2 1 5465 5483 5484 XOR 2 1 5125 5484 5485 XOR 2 1 5325 5485 5486 XOR 2 1 5407 5480 5487 AND 2 1 5399 5487 5488 XOR 2 1 5291 5488 5489 XOR 2 1 143 335 5490 XOR 2 1 4420 5385 5491 XOR 2 1 5034 5491 5492 XOR 2 1 5490 5492 5493 XOR 2 1 2888 239 5494 XOR 2 1 4816 5106 5495 XOR 2 1 4918 5495 5496 XOR 2 1 5494 5496 5497 XOR 2 1 5493 5497 5498 AND 2 1 175 367 5499 XOR 2 1 4342 4576 5500 XOR 2 1 4897 5500 5501 XOR 2 1 5499 5501 5502 XOR 2 1 5498 5502 5503 XOR 2 1 5493 5502 5504 AND 2 1 2872 207 5505 XOR 2 1 4397 5379 5506 XOR 2 1 5027 5506 5507 XOR 2 1 5505 5507 5508 XOR 2 1 5504 5508 5509 XOR 2 1 5503 5509 5510 AND 2 1 5510 5497 5511 XOR 2 1 5265 5511 5512 XOR 2 1 5489 5512 5513 XOR 2 1 5173 5513 5514 XOR 2 1 4505 5094 5515 AND 2 1 4489 5515 5516 XOR 2 1 4569 4969 5517 AND 2 1 4550 5517 5518 XOR 2 1 5516 5518 5519 XOR 2 1 3084 228 5520 XOR 2 1 5026 5257 5521 XOR 2 1 4359 5521 5522 XOR 2 1 5107 5522 5523 XOR 2 1 5520 5523 5524 XOR 2 1 4900 5524 5525 AND 2 1 4907 5525 5526 XOR 2 1 4922 5526 5527 AND 2 1 5527 5524 5528 XOR 2 1 2513 240 5529 XOR 2 1 4933 5468 5530 XOR 2 1 5316 5530 5531 XOR 2 1 5529 5531 5532 XOR 2 1 5416 5532 5533 AND 2 1 5420 5533 5534 XOR 2 1 5427 5534 5535 AND 2 1 5535 5532 5536 XOR 2 1 5528 5536 5537 XOR 2 1 5519 5537 5538 XOR 2 1 5273 5538 5539 XOR 2 1 5514 5539 5540 XOR 2 1 5438 5447 5541 AND 2 1 3096 206 5542 XOR 2 1 4385 4587 5543 XOR 2 1 5521 5543 5544 XOR 2 1 5542 5544 5545 XOR 2 1 5541 5545 5546 XOR 2 1 5442 5546 5547 AND 2 1 5438 5547 5548 XOR 2 1 5474 5548 5549 XOR 2 1 5138 5549 5550 XOR 2 1 5311 5550 5551 XOR 2 1 5540 5551 5552 XOR 2 1 5486 5552 5553 XOR 2 1 5453 5553 5554 AND 2 1 5249 5462 5555 XOR 2 1 5472 5480 5556 XOR 2 1 5555 5556 5557 XOR 2 1 5123 5557 5558 XOR 2 1 5350 5558 5559 XOR 2 1 5289 5407 5560 XOR 2 1 5263 5503 5561 XOR 2 1 5560 5561 5562 XOR 2 1 4756 5562 5563 XOR 2 1 5526 5534 5564 XOR 2 1 4570 5564 5565 XOR 2 1 5271 5565 5566 XOR 2 1 5563 5566 5567 XOR 2 1 5472 5546 5568 XOR 2 1 5333 5568 5569 XOR 2 1 5309 5569 5570 XOR 2 1 5567 5570 5571 XOR 2 1 5559 5571 5572 XOR 2 1 5554 5572 5573 XOR 2 1 5038 5221 5574 XOR 2 1 4757 5574 5575 XOR 2 1 4428 5575 5576 XOR 2 1 5271 5371 5577 XOR 2 1 5560 5577 5578 XOR 2 1 4689 5578 5579 XOR 2 1 5576 5579 5580 XOR 2 1 5309 5427 5581 XOR 2 1 4988 5581 5582 XOR 2 1 4846 5582 5583 XOR 2 1 5154 5194 5584 XOR 2 1 5227 5249 5585 XOR 2 1 5584 5585 5586 XOR 2 1 4958 5586 5587 XOR 2 1 5583 5587 5588 XOR 2 1 4689 5263 5589 XOR 2 1 5408 5589 5590 XOR 2 1 4714 5590 5591 XOR 2 1 5588 5591 5592 XOR 2 1 5580 5592 5593 XOR 2 1 5211 5227 5594 AND 2 1 5201 5594 5595 XOR 2 1 5322 5595 5596 XOR 2 1 5157 5596 5597 XOR 2 1 5083 5597 5598 XOR 2 1 4824 5269 5599 AND 2 1 4800 5599 5600 XOR 2 1 5464 5600 5601 XOR 2 1 4867 5287 5602 AND 2 1 4852 5602 5603 XOR 2 1 5482 5603 5604 XOR 2 1 5601 5604 5605 XOR 2 1 5137 5605 5606 XOR 2 1 5598 5606 5607 XOR 2 1 155 347 5608 XOR 2 1 4774 5402 5609 XOR 2 1 5217 5609 5610 XOR 2 1 5608 5610 5611 XOR 2 1 2916 251 5612 XOR 2 1 4841 5315 5613 XOR 2 1 5423 5613 5614 XOR 2 1 5612 5614 5615 XOR 2 1 5611 5615 5616 AND 2 1 187 379 5617 XOR 2 1 4828 5015 5618 XOR 2 1 5413 5618 5619 XOR 2 1 5617 5619 5620 XOR 2 1 5616 5620 5621 XOR 2 1 5611 5620 5622 AND 2 1 2906 219 5623 XOR 2 1 5163 5395 5624 XOR 2 1 5208 5624 5625 XOR 2 1 5623 5625 5626 XOR 2 1 5622 5626 5627 XOR 2 1 5621 5627 5628 AND 2 1 5628 5615 5629 XOR 2 1 5511 5629 5630 XOR 2 1 5300 5630 5631 XOR 2 1 5196 5631 5632 XOR 2 1 2491 255 5633 XOR 2 1 5204 5458 5634 XOR 2 1 5150 5634 5635 XOR 2 1 5633 5635 5636 XOR 2 1 4973 5636 5637 AND 2 1 4980 5637 5638 XOR 2 1 4987 5638 5639 AND 2 1 5639 5636 5640 XOR 2 1 5518 5640 5641 XOR 2 1 5012 5309 5642 AND 2 1 5004 5642 5643 XOR 2 1 5536 5643 5644 XOR 2 1 5641 5644 5645 XOR 2 1 5281 5645 5646 XOR 2 1 5632 5646 5647 XOR 2 1 4795 5261 5648 AND 2 1 4770 5648 5649 XOR 2 1 5137 5649 5650 XOR 2 1 5483 5650 5651 XOR 2 1 5147 5651 5652 XOR 2 1 5647 5652 5653 XOR 2 1 5607 5653 5654 XOR 2 1 5593 5654 5655 AND 2 1 4824 5462 5656 XOR 2 1 4867 5480 5657 XOR 2 1 5656 5657 5658 XOR 2 1 5135 5658 5659 XOR 2 1 5433 5659 5660 XOR 2 1 5503 5621 5661 XOR 2 1 5343 5661 5662 XOR 2 1 5188 5662 5663 XOR 2 1 4569 5638 5664 XOR 2 1 5012 5534 5665 XOR 2 1 5664 5665 5666 XOR 2 1 5279 5666 5667 XOR 2 1 5663 5667 5668 XOR 2 1 4795 5135 5669 XOR 2 1 5556 5669 5670 XOR 2 1 5145 5670 5671 XOR 2 1 5668 5671 5672 XOR 2 1 5660 5672 5673 XOR 2 1 5655 5673 5674 XOR 2 1 5573 5674 5675 XOR 2 1 4428 5169 5676 XOR 2 1 5039 5676 5677 XOR 2 1 4505 5677 5678 XOR 2 1 5591 5678 5679 XOR 2 1 141 333 5680 XOR 2 1 4138 4749 5681 XOR 2 1 4777 5681 5682 XOR 2 1 5680 5682 5683 XOR 2 1 173 365 5684 XOR 2 1 4515 4660 5685 XOR 2 1 4943 5685 5686 XOR 2 1 5684 5686 5687 XOR 2 1 5683 5687 5688 AND 2 1 3178 205 5689 XOR 2 1 4000 4735 5690 XOR 2 1 5258 5690 5691 XOR 2 1 5689 5691 5692 XOR 2 1 5688 5692 5693 XOR 2 1 4846 5693 5694 XOR 2 1 5428 5694 5695 XOR 2 1 4867 5695 5696 XOR 2 1 4958 5546 5697 XOR 2 1 5431 5697 5698 XOR 2 1 4969 5698 5699 XOR 2 1 5696 5699 5700 XOR 2 1 4759 5700 5701 XOR 2 1 5679 5701 5702 XOR 2 1 4958 5167 5703 AND 2 1 4946 5703 5704 XOR 2 1 5083 5704 5705 XOR 2 1 5323 5705 5706 XOR 2 1 5096 5706 5707 XOR 2 1 5652 5707 5708 XOR 2 1 140 332 5709 XOR 2 1 4562 4681 5710 XOR 2 1 4948 5710 5711 XOR 2 1 5709 5711 5712 XOR 2 1 3131 236 5713 XOR 2 1 4862 4930 5714 XOR 2 1 4842 5714 5715 XOR 2 1 5713 5715 5716 XOR 2 1 5712 5716 5717 AND 2 1 172 364 5718 XOR 2 1 4339 4513 5719 XOR 2 1 4829 5719 5720 XOR 2 1 5718 5720 5721 XOR 2 1 5717 5721 5722 XOR 2 1 5712 5721 5723 AND 2 1 3121 204 5724 XOR 2 1 4541 4669 5725 XOR 2 1 5164 5725 5726 XOR 2 1 5724 5726 5727 XOR 2 1 5723 5727 5728 XOR 2 1 5722 5728 5729 AND 2 1 5729 5716 5730 XOR 2 1 5196 5730 5731 XOR 2 1 5512 5731 5732 XOR 2 1 5229 5732 5733 XOR 2 1 3188 237 5734 XOR 2 1 4964 5128 5735 XOR 2 1 4954 5735 5736 XOR 2 1 5734 5736 5737 XOR 2 1 5683 5737 5738 AND 2 1 5687 5738 5739 XOR 2 1 5693 5739 5740 AND 2 1 5740 5737 5741 XOR 2 1 5281 5741 5742 XOR 2 1 5537 5742 5743 XOR 2 1 5291 5743 5744 XOR 2 1 5733 5744 5745 XOR 2 1 5159 5745 5746 XOR 2 1 5708 5746 5747 XOR 2 1 5702 5747 5748 AND 2 1 4959 5348 5749 XOR 2 1 5094 5749 5750 XOR 2 1 5671 5750 5751 XOR 2 1 5188 5722 5752 XOR 2 1 5561 5752 5753 XOR 2 1 5221 5753 5754 XOR 2 1 5279 5739 5755 XOR 2 1 5564 5755 5756 XOR 2 1 5289 5756 5757 XOR 2 1 5754 5757 5758 XOR 2 1 5336 5758 5759 XOR 2 1 5751 5759 5760 XOR 2 1 5748 5760 5761 XOR 2 1 5169 5448 5762 XOR 2 1 5574 5762 5763 XOR 2 1 4569 5763 5764 XOR 2 1 5563 5764 5765 XOR 2 1 5111 5693 5766 XOR 2 1 5581 5766 5767 XOR 2 1 4891 5767 5768 XOR 2 1 5568 5585 5769 XOR 2 1 4987 5769 5770 XOR 2 1 5768 5770 5771 XOR 2 1 5338 5589 5772 XOR 2 1 5038 5772 5773 XOR 2 1 5771 5773 5774 XOR 2 1 5765 5774 5775 XOR 2 1 5548 5704 5776 XOR 2 1 5596 5776 5777 XOR 2 1 5098 5777 5778 XOR 2 1 5497 5509 5779 AND 2 1 5493 5779 5780 XOR 2 1 5649 5780 5781 XOR 2 1 5604 5781 5782 XOR 2 1 5156 5782 5783 XOR 2 1 5778 5783 5784 XOR 2 1 4155 5064 5785 AND 2 1 4127 5785 5786 XOR 2 1 5730 5786 5787 XOR 2 1 5630 5787 5788 XOR 2 1 5251 5788 5789 XOR 2 1 4609 5111 5790 AND 2 1 4596 5790 5791 XOR 2 1 5741 5791 5792 XOR 2 1 5644 5792 5793 XOR 2 1 5299 5793 5794 XOR 2 1 5789 5794 5795 XOR 2 1 5194 5182 5796 AND 2 1 5177 5796 5797 XOR 2 1 5156 5797 5798 XOR 2 1 5650 5798 5799 XOR 2 1 5322 5799 5800 XOR 2 1 5795 5800 5801 XOR 2 1 5784 5801 5802 XOR 2 1 5775 5802 5803 AND 2 1 4795 5509 5804 XOR 2 1 5657 5804 5805 XOR 2 1 5154 5805 5806 XOR 2 1 5699 5806 5807 XOR 2 1 4155 5722 5808 XOR 2 1 5661 5808 5809 XOR 2 1 5243 5809 5810 XOR 2 1 4609 5739 5811 XOR 2 1 5665 5811 5812 XOR 2 1 5297 5812 5813 XOR 2 1 5810 5813 5814 XOR 2 1 5584 5669 5815 XOR 2 1 5320 5815 5816 XOR 2 1 5814 5816 5817 XOR 2 1 5807 5817 5818 XOR 2 1 5803 5818 5819 XOR 2 1 5761 5819 5820 XOR 2 1 5675 5820 5821 XOR 2 1 5353 5821 5822 XOR 2 1 5577 5808 5823 XOR 2 1 5389 5823 5824 XOR 2 1 4759 5824 5825 XOR 2 1 4990 5816 5826 XOR 2 1 5410 5826 5827 XOR 2 1 5825 5827 5828 XOR 2 1 5716 5728 5829 AND 2 1 5712 5829 5830 XOR 2 1 5066 5830 5831 XOR 2 1 5601 5831 5832 XOR 2 1 5474 5832 5833 XOR 2 1 5159 5833 5834 XOR 2 1 4428 4941 5835 AND 2 1 4406 5835 5836 XOR 2 1 5171 5836 5837 XOR 2 1 5641 5837 5838 XOR 2 1 5528 5838 5839 XOR 2 1 5302 5839 5840 XOR 2 1 5485 5840 5841 XOR 2 1 5834 5841 5842 XOR 2 1 5828 5842 5843 AND 2 1 5064 5728 5844 XOR 2 1 5656 5844 5845 XOR 2 1 5472 5845 5846 XOR 2 1 5336 5846 5847 XOR 2 1 5664 5676 5848 XOR 2 1 5526 5848 5849 XOR 2 1 5345 5849 5850 XOR 2 1 5558 5850 5851 XOR 2 1 5847 5851 5852 XOR 2 1 5843 5852 5853 XOR 2 1 4331 5297 5854 XOR 2 1 4505 5621 5855 XOR 2 1 5854 5855 5856 XOR 2 1 5722 5856 5857 XOR 2 1 5410 5857 5858 XOR 2 1 5660 5810 5859 XOR 2 1 5858 5859 5860 XOR 2 1 4891 5295 5861 AND 2 1 4873 5861 5862 XOR 2 1 5081 5862 5863 XOR 2 1 5615 5627 5864 AND 2 1 5611 5864 5865 XOR 2 1 5096 5865 5866 XOR 2 1 5863 5866 5867 XOR 2 1 5830 5867 5868 XOR 2 1 5485 5868 5869 XOR 2 1 4714 5145 5870 AND 2 1 4706 5870 5871 XOR 2 1 5173 5871 5872 XOR 2 1 5038 5320 5873 AND 2 1 5030 5873 5874 XOR 2 1 5229 5874 5875 XOR 2 1 5872 5875 5876 XOR 2 1 5836 5876 5877 XOR 2 1 5539 5877 5878 XOR 2 1 5780 5865 5879 XOR 2 1 5831 5879 5880 XOR 2 1 5455 5880 5881 XOR 2 1 5878 5881 5882 XOR 2 1 5869 5882 5883 XOR 2 1 5860 5883 5884 AND 2 1 4891 5079 5885 XOR 2 1 5094 5627 5886 XOR 2 1 5885 5886 5887 XOR 2 1 5728 5887 5888 XOR 2 1 5558 5888 5889 XOR 2 1 5566 5576 5890 XOR 2 1 5509 5627 5891 XOR 2 1 5844 5891 5892 XOR 2 1 5249 5892 5893 XOR 2 1 5890 5893 5894 XOR 2 1 5889 5894 5895 XOR 2 1 5884 5895 5896 XOR 2 1 4572 5579 5897 XOR 2 1 4894 5587 5898 XOR 2 1 4155 5526 5899 XOR 2 1 5855 5899 5900 XOR 2 1 5371 5900 5901 XOR 2 1 5898 5901 5902 XOR 2 1 5897 5902 5903 XOR 2 1 5101 5606 5904 XOR 2 1 5254 5646 5905 XOR 2 1 4922 5524 5906 AND 2 1 4900 5906 5907 XOR 2 1 5066 5907 5908 XOR 2 1 5866 5908 5909 XOR 2 1 5464 5909 5910 XOR 2 1 5905 5910 5911 XOR 2 1 5904 5911 5912 XOR 2 1 5903 5912 5913 AND 2 1 5332 5659 5914 XOR 2 1 5341 5667 5915 XOR 2 1 4922 5064 5916 XOR 2 1 5886 5916 5917 XOR 2 1 5462 5917 5918 XOR 2 1 5915 5918 5919 XOR 2 1 5914 5919 5920 XOR 2 1 5913 5920 5921 XOR 2 1 5896 5921 5922 XOR 2 1 5591 5901 5923 XOR 2 1 5807 5824 5924 XOR 2 1 5923 5924 5925 XOR 2 1 5652 5910 5926 XOR 2 1 5448 5546 5927 AND 2 1 5442 5927 5928 XOR 2 1 5171 5928 5929 XOR 2 1 5875 5929 5930 XOR 2 1 5518 5930 5931 XOR 2 1 5744 5931 5932 XOR 2 1 5833 5932 5933 XOR 2 1 5926 5933 5934 XOR 2 1 5925 5934 5935 AND 2 1 5671 5918 5936 XOR 2 1 5757 5764 5937 XOR 2 1 5846 5937 5938 XOR 2 1 5936 5938 5939 XOR 2 1 5935 5939 5940 XOR 2 1 4892 5891 5941 XOR 2 1 5194 5941 5942 XOR 2 1 5770 5942 5943 XOR 2 1 5342 5899 5944 XOR 2 1 5407 5944 5945 XOR 2 1 5943 5945 5946 XOR 2 1 5567 5946 5947 XOR 2 1 5427 5532 5948 AND 2 1 5416 5948 5949 XOR 2 1 5907 5949 5950 XOR 2 1 5099 5950 5951 XOR 2 1 5600 5951 5952 XOR 2 1 5783 5952 5953 XOR 2 1 5389 5472 5954 AND 2 1 5382 5954 5955 XOR 2 1 5928 5955 5956 XOR 2 1 5252 5956 5957 XOR 2 1 5640 5957 5958 XOR 2 1 5794 5958 5959 XOR 2 1 4846 5277 5960 AND 2 1 4832 5960 5961 XOR 2 1 5600 5961 5962 XOR 2 1 5908 5962 5963 XOR 2 1 5482 5963 5964 XOR 2 1 5959 5964 5965 XOR 2 1 5953 5965 5966 XOR 2 1 5947 5966 5967 AND 2 1 5430 5806 5968 XOR 2 1 5339 5449 5969 XOR 2 1 5638 5969 5970 XOR 2 1 5813 5970 5971 XOR 2 1 4847 5916 5972 XOR 2 1 5480 5972 5973 XOR 2 1 5971 5973 5974 XOR 2 1 5968 5974 5975 XOR 2 1 5967 5975 5976 XOR 2 1 5940 5976 5977 XOR 2 1 5922 5977 5978 XOR 2 1 5853 5978 5979 XOR 2 1 5822 5979 5980 XOR 2 1 5194 5728 5981 XOR 2 1 5555 5981 5982 XOR 2 1 5546 5982 5983 XOR 2 1 5694 5885 5984 XOR 2 1 5509 5984 5985 XOR 2 1 5983 5985 5986 XOR 2 1 5566 5986 5987 XOR 2 1 5850 5987 5988 XOR 2 1 4658 5638 5989 XOR 2 1 5013 5989 5990 XOR 2 1 5739 5990 5991 XOR 2 1 5372 5752 5992 XOR 2 1 5448 5992 5993 XOR 2 1 5991 5993 5994 XOR 2 1 5430 5994 5995 XOR 2 1 4991 5995 5996 XOR 2 1 5988 5996 5997 AND 2 1 5797 5830 5998 XOR 2 1 5465 5998 5999 XOR 2 1 5548 5999 6000 XOR 2 1 5693 5737 6001 AND 2 1 5683 6001 6002 XOR 2 1 5961 6002 6003 XOR 2 1 5863 6003 6004 XOR 2 1 5780 6004 6005 XOR 2 1 6000 6005 6006 XOR 2 1 5539 6006 6007 XOR 2 1 5840 6007 6008 XOR 2 1 5997 6008 6009 XOR 2 1 5583 5942 6010 XOR 2 1 5678 6010 6011 XOR 2 1 5890 6011 6012 XOR 2 1 5663 5970 6013 XOR 2 1 5750 6013 6014 XOR 2 1 5434 6014 6015 XOR 2 1 6012 6015 6016 AND 2 1 5603 5862 6017 XOR 2 1 5879 6017 6018 XOR 2 1 5797 6018 6019 XOR 2 1 4987 5636 6020 AND 2 1 4973 6020 6021 XOR 2 1 5098 6021 6022 XOR 2 1 5311 5949 6023 XOR 2 1 6022 6023 6024 XOR 2 1 5961 6024 6025 XOR 2 1 6019 6025 6026 XOR 2 1 5791 5874 6027 XOR 2 1 5837 6027 6028 XOR 2 1 5516 6028 6029 XOR 2 1 6026 6029 6030 XOR 2 1 5878 6030 6031 XOR 2 1 6016 6031 6032 XOR 2 1 4987 5123 6033 XOR 2 1 5347 6033 6034 XOR 2 1 5693 6034 6035 XOR 2 1 5888 6035 6036 XOR 2 1 5764 6036 6037 XOR 2 1 5915 6037 6038 XOR 2 1 5699 5858 6039 XOR 2 1 5588 6039 6040 XOR 2 1 6038 6040 6041 AND 2 1 5125 6021 6042 XOR 2 1 5312 6042 6043 XOR 2 1 6002 6043 6044 XOR 2 1 5868 6044 6045 XOR 2 1 5931 6045 6046 XOR 2 1 5905 6046 6047 XOR 2 1 6041 6047 6048 XOR 2 1 6032 6048 6049 XOR 2 1 5768 5893 6050 XOR 2 1 5849 6050 6051 XOR 2 1 5937 6051 6052 XOR 2 1 5451 5810 6053 XOR 2 1 4990 6053 6054 XOR 2 1 5700 6054 6055 XOR 2 1 6052 6055 6056 AND 2 1 5113 6002 6057 XOR 2 1 6023 6057 6058 XOR 2 1 5862 6058 6059 XOR 2 1 5881 6059 6060 XOR 2 1 5839 6060 6061 XOR 2 1 5932 6061 6062 XOR 2 1 6056 6062 6063 XOR 2 1 5350 5918 6064 XOR 2 1 5762 5989 6065 XOR 2 1 5534 6065 6066 XOR 2 1 6064 6066 6067 XOR 2 1 5971 6067 6068 XOR 2 1 5697 6033 6069 XOR 2 1 5427 6069 6070 XOR 2 1 5923 6070 6071 XOR 2 1 5771 6071 6072 XOR 2 1 6068 6072 6073 AND 2 1 5325 5910 6074 XOR 2 1 4658 5123 6075 AND 2 1 4643 6075 6076 XOR 2 1 5640 6076 6077 XOR 2 1 5929 6077 6078 XOR 2 1 5536 6078 6079 XOR 2 1 6074 6079 6080 XOR 2 1 5959 6080 6081 XOR 2 1 6073 6081 6082 XOR 2 1 6063 6082 6083 XOR 2 1 6049 6083 6084 XOR 2 1 6009 6084 6085 XOR 2 1 5773 5849 6086 XOR 2 1 5985 6070 6087 XOR 2 1 5576 6087 6088 XOR 2 1 6086 6088 6089 XOR 2 1 5755 5854 6090 XOR 2 1 5503 6090 6091 XOR 2 1 5993 6091 6092 XOR 2 1 5433 6092 6093 XOR 2 1 5826 6093 6094 XOR 2 1 6089 6094 6095 AND 2 1 4689 5135 6096 AND 2 1 4678 6096 6097 XOR 2 1 5265 6097 6098 XOR 2 1 5197 6098 6099 XOR 2 1 5874 6099 6100 XOR 2 1 5839 6100 6101 XOR 2 1 5776 6042 6102 XOR 2 1 5949 6102 6103 XOR 2 1 6005 6103 6104 XOR 2 1 5877 6104 6105 XOR 2 1 6101 6105 6106 XOR 2 1 6095 6106 6107 XOR 2 1 5593 5673 6108 AND 2 1 5371 5462 6109 AND 2 1 5363 6109 6110 XOR 2 1 5273 6110 6111 XOR 2 1 5489 6111 6112 XOR 2 1 6097 6112 6113 XOR 2 1 5877 6113 6114 XOR 2 1 5455 5595 6115 XOR 2 1 5798 6115 6116 XOR 2 1 5704 6116 6117 XOR 2 1 6025 6117 6118 XOR 2 1 5488 5955 6119 XOR 2 1 6098 6119 6120 XOR 2 1 5871 6120 6121 XOR 2 1 6118 6121 6122 XOR 2 1 6114 6122 6123 XOR 2 1 6108 6123 6124 XOR 2 1 5983 6035 6125 XOR 2 1 5563 6125 6126 XOR 2 1 5346 6126 6127 XOR 2 1 5857 5991 6128 XOR 2 1 5806 6128 6129 XOR 2 1 5898 6129 6130 XOR 2 1 6127 6130 6131 AND 2 1 6000 6044 6132 XOR 2 1 5514 6132 6133 XOR 2 1 5303 6133 6134 XOR 2 1 6131 6134 6135 XOR 2 1 6124 6135 6136 XOR 2 1 5775 5818 6137 AND 2 1 5514 5931 6138 XOR 2 1 5549 6115 6139 XOR 2 1 6021 6139 6140 XOR 2 1 6059 6140 6141 XOR 2 1 6100 6141 6142 XOR 2 1 6138 6142 6143 XOR 2 1 6137 6143 6144 XOR 2 1 5559 5754 6145 XOR 2 1 6013 6145 6146 XOR 1 1 6146 6147 INV 2 1 5041 5901 6148 XOR 2 1 5804 5981 6149 XOR 2 1 5227 6149 6150 XOR 2 1 6148 6150 6151 XOR 2 1 5943 6151 6152 XOR 1 1 6152 6153 INV 2 1 6147 6153 6154 AND 2 1 5632 5958 6155 XOR 2 1 5486 5733 6156 XOR 2 1 6155 6156 6157 XOR 2 1 6154 6157 6158 XOR 2 1 6144 6158 6159 XOR 2 1 6136 6159 6160 XOR 2 1 6107 6160 6161 XOR 2 1 6085 6161 6162 XOR 2 1 5751 5993 6163 XOR 2 1 6053 6163 6164 XOR 2 1 5551 5881 6165 XOR 2 1 5528 5786 6166 XOR 2 1 5282 6166 6167 XOR 2 1 5488 6167 6168 XOR 2 1 6029 6168 6169 XOR 2 1 6000 6169 6170 XOR 2 1 6165 6170 6171 XOR 2 1 6164 6171 6172 AND 2 1 5570 5893 6173 XOR 2 1 5678 5945 6174 XOR 2 1 5983 6174 6175 XOR 2 1 6173 6175 6176 XOR 2 1 6172 6176 6177 XOR 2 1 5940 6177 6178 XOR 2 1 5773 5945 6179 XOR 2 1 6070 6150 6180 XOR 2 1 5579 6180 6181 XOR 2 1 6179 6181 6182 XOR 2 1 5800 5964 6183 XOR 2 1 4331 5079 6184 AND 2 1 4309 6184 6185 XOR 2 1 5299 6185 6186 XOR 2 1 5742 6186 6187 XOR 2 1 5511 6187 6188 XOR 2 1 6079 6188 6189 XOR 2 1 5606 6189 6190 XOR 2 1 6183 6190 6191 XOR 2 1 6182 6191 6192 AND 2 1 5816 5973 6193 XOR 2 1 6066 6091 6194 XOR 2 1 5659 6194 6195 XOR 2 1 6193 6195 6196 XOR 2 1 6192 6196 6197 XOR 2 1 5853 6197 6198 XOR 2 1 6178 6198 6199 XOR 2 1 5573 6199 6200 XOR 2 1 6162 6200 6201 XOR 2 1 5980 6201 6202 XOR 2 1 388 6202 6203 XOR 2 1 5043 5352 6204 AND 2 1 5836 6185 6205 XOR 2 1 5519 6205 6206 XOR 2 1 5786 6206 6207 XOR 2 1 6076 6097 6208 XOR 2 1 5872 6208 6209 XOR 2 1 5791 6209 6210 XOR 2 1 6207 6210 6211 XOR 2 1 5962 6017 6212 XOR 2 1 5649 6212 6213 XOR 2 1 5705 6022 6214 XOR 2 1 5907 6214 6215 XOR 2 1 6213 6215 6216 XOR 2 1 5643 5871 6217 XOR 2 1 6027 6217 6218 XOR 2 1 6185 6218 6219 XOR 2 1 6216 6219 6220 XOR 2 1 6211 6220 6221 XOR 2 1 6204 6221 6222 XOR 2 1 5327 6222 6223 AND 2 1 5043 6223 6224 XOR 2 1 5453 5572 6225 AND 2 1 5251 6110 6226 XOR 2 1 6119 6226 6227 XOR 2 1 6076 6227 6228 XOR 2 1 6219 6228 6229 XOR 2 1 5598 5952 6230 XOR 2 1 5956 6208 6231 XOR 2 1 5643 6231 6232 XOR 2 1 6230 6232 6233 XOR 2 1 6229 6233 6234 XOR 2 1 6225 6234 6235 XOR 2 1 5553 6235 6236 AND 2 1 5453 6236 6237 XOR 2 1 5654 6124 6238 AND 2 1 5593 6238 6239 XOR 2 1 6237 6239 6240 XOR 2 1 5702 5760 6241 AND 2 1 6029 6121 6242 XOR 2 1 5950 6003 6243 XOR 2 1 5603 6243 6244 XOR 2 1 5778 6244 6245 XOR 2 1 6210 6245 6246 XOR 2 1 6242 6246 6247 XOR 2 1 6241 6247 6248 XOR 2 1 5747 6248 6249 AND 2 1 5702 6249 6250 XOR 2 1 5802 6144 6251 AND 2 1 5775 6251 6252 XOR 2 1 6250 6252 6253 XOR 2 1 6240 6253 6254 XOR 2 1 6224 6254 6255 XOR 2 1 5828 5852 6256 AND 2 1 5787 6111 6257 XOR 2 1 5955 6257 6258 XOR 2 1 6210 6258 6259 XOR 2 1 5800 6215 6260 XOR 2 1 6228 6260 6261 XOR 2 1 6259 6261 6262 XOR 2 1 6256 6262 6263 XOR 2 1 5842 6263 6264 AND 2 1 5828 6264 6265 XOR 2 1 5860 5895 6266 AND 2 1 5516 5629 6267 XOR 2 1 6186 6267 6268 XOR 2 1 5730 6268 6269 XOR 2 1 6228 6269 6270 XOR 2 1 5607 5789 6271 XOR 2 1 6270 6271 6272 XOR 2 1 6266 6272 6273 XOR 2 1 5883 6273 6274 AND 2 1 5860 6274 6275 XOR 2 1 5903 5920 6276 AND 2 1 6113 6207 6277 XOR 2 1 6117 6213 6278 XOR 2 1 6166 6267 6279 XOR 2 1 6110 6279 6280 XOR 2 1 6278 6280 6281 XOR 2 1 6277 6281 6282 XOR 2 1 6276 6282 6283 XOR 2 1 5912 6283 6284 AND 2 1 5903 6284 6285 XOR 2 1 6275 6285 6286 XOR 2 1 5925 5939 6287 AND 2 1 6121 6280 6288 XOR 2 1 5784 6258 6289 XOR 2 1 6288 6289 6290 XOR 2 1 6287 6290 6291 XOR 2 1 5934 6291 6292 AND 2 1 5925 6292 6293 XOR 2 1 5947 5975 6294 AND 2 1 6019 6140 6295 XOR 2 1 6168 6295 6296 XOR 2 1 5540 6296 6297 XOR 2 1 6294 6297 6298 XOR 2 1 5966 6298 6299 AND 2 1 5947 6299 6300 XOR 2 1 6293 6300 6301 XOR 2 1 6286 6301 6302 XOR 2 1 6265 6302 6303 XOR 2 1 6255 6303 6304 XOR 2 1 6077 6217 6305 XOR 2 1 5741 6305 6306 XOR 2 1 6269 6306 6307 XOR 2 1 5783 6307 6308 XOR 2 1 6278 6308 6309 XOR 2 1 6127 6309 6310 AND 2 1 6130 6310 6311 XOR 2 1 6135 6311 6312 AND 2 1 6312 6309 6313 XOR 2 1 5976 6298 6314 AND 2 1 5966 6314 6315 XOR 2 1 5757 5889 6316 XOR 2 1 5668 6316 6317 XOR 2 1 6229 6244 6318 XOR 2 1 6026 6318 6319 XOR 2 1 6317 6319 6320 AND 2 1 5411 5696 6321 XOR 2 1 6010 6321 6322 XOR 2 1 6320 6322 6323 XOR 2 1 6317 6322 6324 AND 2 1 5744 5869 6325 XOR 2 1 5647 6325 6326 XOR 2 1 6324 6326 6327 XOR 2 1 6323 6327 6328 AND 2 1 6328 6319 6329 XOR 2 1 6315 6329 6330 XOR 2 1 5345 6173 6331 XOR 2 1 5758 6331 6332 XOR 2 1 5781 5998 6333 XOR 2 1 5595 6333 6334 XOR 2 1 6244 6334 6335 XOR 2 1 5792 6205 6336 XOR 2 1 5629 6336 6337 XOR 2 1 6232 6337 6338 XOR 2 1 6213 6338 6339 XOR 2 1 6335 6339 6340 XOR 2 1 6332 6340 6341 AND 2 1 5696 6150 6342 XOR 2 1 4429 5811 6343 XOR 2 1 5621 6343 6344 XOR 2 1 5451 6344 6345 XOR 2 1 4894 6345 6346 XOR 2 1 6342 6346 6347 XOR 2 1 6341 6347 6348 XOR 2 1 6332 6347 6349 AND 2 1 5302 6165 6350 XOR 2 1 5745 6350 6351 XOR 2 1 6349 6351 6352 XOR 2 1 6348 6352 6353 AND 2 1 6353 6340 6354 XOR 2 1 5936 6091 6355 XOR 2 1 5814 6355 6356 XOR 2 1 6005 6242 6357 XOR 2 1 6060 6357 6358 XOR 2 1 6356 6358 6359 AND 2 1 5679 5985 6360 XOR 2 1 6050 6360 6361 XOR 2 1 6359 6361 6362 XOR 2 1 6356 6361 6363 AND 2 1 5926 6188 6364 XOR 2 1 5795 6364 6365 XOR 2 1 6363 6365 6366 XOR 2 1 6362 6366 6367 AND 2 1 6367 6358 6368 XOR 2 1 6354 6368 6369 XOR 2 1 6330 6369 6370 XOR 2 1 6313 6370 6371 XOR 2 1 5731 6226 6372 XOR 2 1 5928 6372 6373 XOR 2 1 6306 6373 6374 XOR 2 1 5952 6374 6375 XOR 2 1 6216 6375 6376 XOR 2 1 5988 6376 6377 AND 2 1 5996 6377 6378 XOR 2 1 6009 6378 6379 AND 2 1 6379 6376 6380 XOR 2 1 5707 6155 6381 XOR 2 1 6230 6381 6382 XOR 2 1 6012 6382 6383 AND 2 1 6015 6383 6384 XOR 2 1 6032 6384 6385 AND 2 1 6385 6382 6386 XOR 2 1 5778 6270 6387 XOR 2 1 6118 6387 6388 XOR 2 1 6038 6388 6389 AND 2 1 6040 6389 6390 XOR 2 1 6048 6390 6391 AND 2 1 6391 6388 6392 XOR 2 1 6386 6392 6393 XOR 2 1 5789 6232 6394 XOR 2 1 6215 6394 6395 XOR 2 1 6245 6395 6396 XOR 2 1 6052 6396 6397 AND 2 1 6055 6397 6398 XOR 2 1 6063 6398 6399 AND 2 1 6399 6396 6400 XOR 2 1 6103 6288 6401 XOR 2 1 6141 6401 6402 XOR 2 1 6068 6402 6403 AND 2 1 6072 6403 6404 XOR 2 1 6082 6404 6405 AND 2 1 6405 6402 6406 XOR 2 1 6400 6406 6407 XOR 2 1 6393 6407 6408 XOR 2 1 6380 6408 6409 XOR 2 1 6371 6409 6410 XOR 2 1 6164 6176 6411 AND 2 1 5708 6373 6412 XOR 2 1 6394 6412 6413 XOR 2 1 6411 6413 6414 XOR 2 1 6171 6414 6415 AND 2 1 6164 6415 6416 XOR 2 1 6293 6416 6417 XOR 2 1 6182 6196 6418 AND 2 1 6100 6168 6419 XOR 2 1 6103 6334 6420 XOR 2 1 6113 6420 6421 XOR 2 1 6419 6421 6422 XOR 2 1 6418 6422 6423 XOR 2 1 6191 6423 6424 AND 2 1 6182 6424 6425 XOR 2 1 6265 6425 6426 XOR 2 1 6417 6426 6427 XOR 2 1 6237 6427 6428 XOR 2 1 6410 6428 6429 XOR 2 1 6304 6429 6430 XOR 2 1 722 6430 6431 XOR 2 1 6203 6431 6432 AND 2 1 6124 6235 6433 XOR 2 1 6144 6248 6434 XOR 2 1 6433 6434 6435 XOR 2 1 6222 6435 6436 XOR 2 1 6273 6283 6437 XOR 2 1 6291 6298 6438 XOR 2 1 6437 6438 6439 XOR 2 1 6263 6439 6440 XOR 2 1 6436 6440 6441 XOR 2 1 5976 6323 6442 XOR 2 1 6348 6362 6443 XOR 2 1 6442 6443 6444 XOR 2 1 6311 6444 6445 XOR 2 1 6384 6390 6446 XOR 2 1 6398 6404 6447 XOR 2 1 6446 6447 6448 XOR 2 1 6378 6448 6449 XOR 2 1 6445 6449 6450 XOR 2 1 6291 6414 6451 XOR 2 1 6263 6423 6452 XOR 2 1 6451 6452 6453 XOR 2 1 6235 6453 6454 XOR 2 1 6450 6454 6455 XOR 2 1 6441 6455 6456 XOR 2 1 515 6456 6457 XOR 2 1 6432 6457 6458 XOR 2 1 5968 6344 6459 XOR 2 1 6148 6459 6460 XOR 2 1 5084 6057 6461 XOR 2 1 5865 6461 6462 XOR 2 1 6138 6462 6463 XOR 2 1 6074 6463 6464 XOR 2 1 6460 6464 6465 AND 2 1 5329 5766 6466 XOR 2 1 5627 6466 6467 XOR 2 1 5765 6467 6468 XOR 2 1 6064 6468 6469 XOR 2 1 6465 6469 6470 XOR 2 1 6362 6470 6471 XOR 2 1 5824 6344 6472 XOR 2 1 5857 6193 6473 XOR 2 1 6472 6473 6474 XOR 2 1 5833 6462 6475 XOR 2 1 5868 6101 6476 XOR 2 1 6475 6476 6477 XOR 2 1 6474 6477 6478 AND 2 1 5846 6467 6479 XOR 2 1 5888 6086 6480 XOR 2 1 6479 6480 6481 XOR 2 1 6478 6481 6482 XOR 2 1 4572 6342 6483 XOR 2 1 6174 6483 6484 XOR 2 1 5707 5964 6485 XOR 2 1 5733 6079 6486 XOR 2 1 5101 6486 6487 XOR 2 1 6485 6487 6488 XOR 2 1 6484 6488 6489 AND 2 1 5750 5973 6490 XOR 2 1 5754 6066 6491 XOR 2 1 5332 6491 6492 XOR 2 1 6490 6492 6493 XOR 2 1 6489 6493 6494 XOR 2 1 6482 6494 6495 XOR 2 1 6471 6495 6496 XOR 2 1 5896 6496 6497 XOR 2 1 6200 6497 6498 XOR 2 1 5570 6467 6499 XOR 2 1 5341 6499 6500 XOR 2 1 6491 6500 6501 XOR 2 1 5587 6472 6502 XOR 2 1 6180 6502 6503 XOR 2 1 6501 6503 6504 AND 2 1 5551 6462 6505 XOR 2 1 5254 6505 6506 XOR 2 1 6486 6506 6507 XOR 2 1 6504 6507 6508 XOR 2 1 6107 6508 6509 XOR 2 1 6434 6509 6510 XOR 2 1 6032 6510 6511 XOR 2 1 6352 6423 6512 XOR 2 1 6438 6512 6513 XOR 2 1 6124 6513 6514 XOR 2 1 6511 6514 6515 XOR 2 1 5663 5847 6516 XOR 2 1 6092 6516 6517 XOR 2 1 6019 6211 6518 XOR 2 1 6006 6518 6519 XOR 2 1 6517 6519 6520 AND 2 1 4760 5942 6521 XOR 2 1 5986 6521 6522 XOR 2 1 6520 6522 6523 XOR 2 1 6482 6523 6524 XOR 2 1 5922 6524 6525 XOR 2 1 6177 6525 6526 XOR 2 1 6515 6526 6527 XOR 2 1 6498 6527 6528 XOR 2 1 805 6528 6529 XOR 2 1 6358 6366 6530 AND 2 1 6356 6530 6531 XOR 2 1 6460 6469 6532 AND 2 1 6219 6280 6533 XOR 2 1 5953 6337 6534 XOR 2 1 6533 6534 6535 XOR 2 1 6532 6535 6536 XOR 2 1 6464 6536 6537 AND 2 1 6460 6537 6538 XOR 2 1 6531 6538 6539 XOR 2 1 6474 6481 6540 AND 2 1 6258 6337 6541 XOR 2 1 6183 6269 6542 XOR 2 1 6541 6542 6543 XOR 2 1 6540 6543 6544 XOR 2 1 6477 6544 6545 AND 2 1 6474 6545 6546 XOR 2 1 6484 6493 6547 AND 2 1 6207 6335 6548 XOR 2 1 6169 6548 6549 XOR 2 1 6547 6549 6550 XOR 2 1 6488 6550 6551 AND 2 1 6484 6551 6552 XOR 2 1 6546 6552 6553 XOR 2 1 6539 6553 6554 XOR 2 1 6275 6554 6555 XOR 2 1 6428 6555 6556 XOR 2 1 6494 6550 6557 AND 2 1 6488 6557 6558 XOR 2 1 6400 6558 6559 XOR 2 1 5667 6479 6560 XOR 2 1 6194 6560 6561 XOR 2 1 6025 6259 6562 XOR 2 1 6104 6562 6563 XOR 2 1 6561 6563 6564 AND 2 1 5583 5825 6565 XOR 2 1 6087 6565 6566 XOR 2 1 6564 6566 6567 XOR 2 1 6561 6566 6568 AND 2 1 5646 6475 6569 XOR 2 1 6189 6569 6570 XOR 2 1 6568 6570 6571 XOR 2 1 6567 6571 6572 AND 2 1 6572 6563 6573 XOR 2 1 6380 6573 6574 XOR 2 1 6559 6574 6575 XOR 2 1 6315 6575 6576 XOR 2 1 5761 6248 6577 AND 2 1 5747 6577 6578 XOR 2 1 5819 6144 6579 AND 2 1 5802 6579 6580 XOR 2 1 6578 6580 6581 XOR 2 1 6188 6373 6582 XOR 2 1 5598 6582 6583 XOR 2 1 6260 6583 6584 XOR 2 1 6089 6584 6585 AND 2 1 6094 6585 6586 XOR 2 1 6107 6586 6587 AND 2 1 6587 6584 6588 XOR 2 1 6117 6541 6589 XOR 2 1 6420 6589 6590 XOR 2 1 6501 6590 6591 AND 2 1 6503 6591 6592 XOR 2 1 6508 6592 6593 AND 2 1 6593 6590 6594 XOR 2 1 6588 6594 6595 XOR 2 1 6581 6595 6596 XOR 2 1 6386 6596 6597 XOR 2 1 6576 6597 6598 XOR 2 1 6517 6522 6599 AND 2 1 5632 5834 6600 XOR 2 1 6582 6600 6601 XOR 2 1 6599 6601 6602 XOR 2 1 6519 6602 6603 AND 2 1 6517 6603 6604 XOR 2 1 6546 6604 6605 XOR 2 1 6286 6605 6606 XOR 2 1 6416 6606 6607 XOR 2 1 6598 6607 6608 XOR 2 1 6556 6608 6609 XOR 2 1 889 6609 6610 XOR 2 1 6529 6610 6611 AND 2 1 6366 6536 6612 XOR 2 1 6544 6550 6613 XOR 2 1 6612 6613 6614 XOR 2 1 6273 6614 6615 XOR 2 1 6454 6615 6616 XOR 2 1 6398 6494 6617 XOR 2 1 6378 6567 6618 XOR 2 1 6617 6618 6619 XOR 2 1 5976 6619 6620 XOR 2 1 6586 6592 6621 XOR 2 1 5820 6621 6622 XOR 2 1 6384 6622 6623 XOR 2 1 6620 6623 6624 XOR 2 1 6544 6602 6625 XOR 2 1 6437 6625 6626 XOR 2 1 6414 6626 6627 XOR 2 1 6624 6627 6628 XOR 2 1 6616 6628 6629 XOR 2 1 807 6629 6630 XOR 2 1 6611 6630 6631 XOR 2 1 6197 6348 6632 XOR 2 1 5977 6632 6633 XOR 2 1 5674 6633 6634 XOR 2 1 6384 6470 6635 XOR 2 1 6617 6635 6636 XOR 2 1 5921 6636 6637 XOR 2 1 6634 6637 6638 XOR 2 1 6414 6508 6639 XOR 2 1 6159 6639 6640 XOR 2 1 6048 6640 6641 XOR 2 1 6298 6327 6642 XOR 2 1 6352 6366 6643 XOR 2 1 6642 6643 6644 XOR 2 1 6135 6644 6645 XOR 2 1 6641 6645 6646 XOR 2 1 5921 6378 6647 XOR 2 1 6495 6647 6648 XOR 2 1 5940 6648 6649 XOR 2 1 6646 6649 6650 XOR 2 1 6638 6650 6651 XOR 2 1 560 6651 6652 XOR 2 1 6340 6352 6653 AND 2 1 6332 6653 6654 XOR 2 1 6425 6654 6655 XOR 2 1 6301 6655 6656 XOR 2 1 6239 6656 6657 XOR 2 1 6032 6382 6658 AND 2 1 6012 6658 6659 XOR 2 1 6538 6659 6660 XOR 2 1 6063 6396 6661 AND 2 1 6052 6661 6662 XOR 2 1 6552 6662 6663 XOR 2 1 6660 6663 6664 XOR 2 1 6285 6664 6665 XOR 2 1 6657 6665 6666 XOR 2 1 5991 6490 6667 XOR 2 1 6345 6667 6668 XOR 2 1 6044 6419 6669 XOR 2 1 6505 6669 6670 XOR 2 1 6668 6670 6671 AND 2 1 6035 6179 6672 XOR 2 1 6499 6672 6673 XOR 2 1 6671 6673 6674 XOR 2 1 6668 6673 6675 AND 2 1 6306 6485 6676 XOR 2 1 6338 6676 6677 XOR 2 1 6675 6677 6678 XOR 2 1 6674 6678 6679 AND 2 1 6679 6670 6680 XOR 2 1 6573 6680 6681 XOR 2 1 6407 6681 6682 XOR 2 1 6329 6682 6683 XOR 2 1 6334 6533 6684 XOR 2 1 6295 6684 6685 XOR 2 1 6147 6685 6686 AND 2 1 6153 6686 6687 XOR 2 1 6158 6687 6688 AND 2 1 6688 6685 6689 XOR 2 1 6580 6689 6690 XOR 2 1 6177 6414 6691 AND 2 1 6171 6691 6692 XOR 2 1 6594 6692 6693 XOR 2 1 6690 6693 6694 XOR 2 1 6392 6694 6695 XOR 2 1 6683 6695 6696 XOR 2 1 6009 6376 6697 AND 2 1 5988 6697 6698 XOR 2 1 6285 6698 6699 XOR 2 1 6553 6699 6700 XOR 2 1 6293 6700 6701 XOR 2 1 6696 6701 6702 XOR 2 1 6666 6702 6703 XOR 2 1 562 6703 6704 XOR 2 1 6652 6704 6705 AND 2 1 6032 6536 6706 XOR 2 1 6063 6550 6707 XOR 2 1 6706 6707 6708 XOR 2 1 6283 6708 6709 XOR 2 1 6514 6709 6710 XOR 2 1 6567 6674 6711 XOR 2 1 6447 6711 6712 XOR 2 1 6323 6712 6713 XOR 2 1 5819 6687 6714 XOR 2 1 6177 6592 6715 XOR 2 1 6714 6715 6716 XOR 2 1 6390 6716 6717 XOR 2 1 6713 6717 6718 XOR 2 1 6009 6283 6719 XOR 2 1 6613 6719 6720 XOR 2 1 6291 6720 6721 XOR 2 1 6718 6721 6722 XOR 2 1 6710 6722 6723 XOR 2 1 565 6723 6724 XOR 2 1 6705 6724 6725 XOR 2 1 6631 6725 6726 XOR 2 1 5674 6311 6727 XOR 2 1 6198 6727 6728 XOR 2 1 5761 6728 6729 XOR 2 1 6649 6729 6730 XOR 2 1 5337 5970 6731 XOR 2 1 5994 6731 6732 XOR 2 1 5770 5897 6733 XOR 2 1 6125 6733 6734 XOR 2 1 6732 6734 6735 AND 2 1 5160 5958 6736 XOR 2 1 6374 6736 6737 XOR 2 1 6735 6737 6738 XOR 2 1 6048 6738 6739 XOR 2 1 6509 6739 6740 XOR 2 1 6063 6740 6741 XOR 2 1 6135 6602 6742 XOR 2 1 6512 6742 6743 XOR 2 1 6144 6743 6744 XOR 2 1 6741 6744 6745 XOR 2 1 5979 6745 6746 XOR 2 1 6730 6746 6747 XOR 2 1 1026 6747 6748 XOR 2 1 6135 6309 6749 AND 2 1 6127 6749 6750 XOR 2 1 6239 6750 6751 XOR 2 1 6426 6751 6752 XOR 2 1 6250 6752 6753 XOR 2 1 6701 6753 6754 XOR 2 1 5813 5914 6755 XOR 2 1 6128 6755 6756 XOR 2 1 6059 6114 6757 XOR 2 1 6045 6757 6758 XOR 2 1 6756 6758 6759 AND 2 1 5580 5768 6760 XOR 2 1 6036 6760 6761 XOR 2 1 6759 6761 6762 XOR 2 1 6756 6761 6763 AND 2 1 5794 5904 6764 XOR 2 1 6307 6764 6765 XOR 2 1 6763 6765 6766 XOR 2 1 6762 6766 6767 AND 2 1 6767 6758 6768 XOR 2 1 6329 6768 6769 XOR 2 1 6574 6769 6770 XOR 2 1 6354 6770 6771 XOR 2 1 6140 6277 6772 XOR 2 1 6132 6772 6773 XOR 2 1 6732 6773 6774 AND 2 1 6734 6774 6775 XOR 2 1 6738 6775 6776 AND 2 1 6776 6773 6777 XOR 2 1 6392 6777 6778 XOR 2 1 6595 6778 6779 XOR 2 1 6400 6779 6780 XOR 2 1 6771 6780 6781 XOR 2 1 6303 6781 6782 XOR 2 1 6754 6782 6783 XOR 2 1 1066 6783 6784 XOR 2 1 6748 6784 6785 AND 2 1 6136 6452 6786 XOR 2 1 6248 6786 6787 XOR 2 1 6721 6787 6788 XOR 2 1 6323 6762 6789 XOR 2 1 6618 6789 6790 XOR 2 1 6348 6790 6791 XOR 2 1 6390 6775 6792 XOR 2 1 6621 6792 6793 XOR 2 1 6398 6793 6794 XOR 2 1 6791 6794 6795 XOR 2 1 6440 6795 6796 XOR 2 1 6788 6796 6797 XOR 2 1 1028 6797 6798 XOR 2 1 6785 6798 6799 XOR 2 1 6311 6523 6800 XOR 2 1 6632 6800 6801 XOR 2 1 5819 6801 6802 XOR 2 1 6620 6802 6803 XOR 2 1 6263 6738 6804 XOR 2 1 6639 6804 6805 XOR 2 1 6082 6805 6806 XOR 2 1 6625 6643 6807 XOR 2 1 6158 6807 6808 XOR 2 1 6806 6808 6809 XOR 2 1 6442 6647 6810 XOR 2 1 6197 6810 6811 XOR 2 1 6809 6811 6812 XOR 2 1 6803 6812 6813 XOR 2 1 436 6813 6814 XOR 2 1 6604 6750 6815 XOR 2 1 6655 6815 6816 XOR 2 1 6252 6816 6817 XOR 2 1 6563 6571 6818 AND 2 1 6561 6818 6819 XOR 2 1 6698 6819 6820 XOR 2 1 6663 6820 6821 XOR 2 1 6300 6821 6822 XOR 2 1 6817 6822 6823 XOR 2 1 5353 6222 6824 AND 2 1 5327 6824 6825 XOR 2 1 6768 6825 6826 XOR 2 1 6681 6826 6827 XOR 2 1 6368 6827 6828 XOR 2 1 5853 6263 6829 AND 2 1 5842 6829 6830 XOR 2 1 6777 6830 6831 XOR 2 1 6693 6831 6832 XOR 2 1 6406 6832 6833 XOR 2 1 6828 6833 6834 XOR 2 1 6319 6327 6835 AND 2 1 6317 6835 6836 XOR 2 1 6300 6836 6837 XOR 2 1 6699 6837 6838 XOR 2 1 6425 6838 6839 XOR 2 1 6834 6839 6840 XOR 2 1 6823 6840 6841 XOR 2 1 438 6841 6842 XOR 2 1 6814 6842 6843 AND 2 1 6009 6571 6844 XOR 2 1 6707 6844 6845 XOR 2 1 6298 6845 6846 XOR 2 1 6744 6846 6847 XOR 2 1 5353 6762 6848 XOR 2 1 6711 6848 6849 XOR 2 1 6362 6849 6850 XOR 2 1 5853 6775 6851 XOR 2 1 6715 6851 6852 XOR 2 1 6404 6852 6853 XOR 2 1 6850 6853 6854 XOR 2 1 6642 6719 6855 XOR 2 1 6423 6855 6856 XOR 2 1 6854 6856 6857 XOR 2 1 6847 6857 6858 XOR 2 1 441 6858 6859 XOR 2 1 6843 6859 6860 XOR 2 1 6799 6860 6861 XOR 2 1 6726 6861 6862 XOR 2 1 6458 6862 6863 XOR 2 1 6635 6848 6864 XOR 2 1 6482 6864 6865 XOR 2 1 5979 6865 6866 XOR 2 1 6161 6856 6867 XOR 2 1 6497 6867 6868 XOR 2 1 6866 6868 6869 XOR 2 1 548 6869 6870 XOR 2 1 6758 6766 6871 AND 2 1 6756 6871 6872 XOR 2 1 6224 6872 6873 XOR 2 1 6660 6873 6874 XOR 2 1 6546 6874 6875 XOR 2 1 6303 6875 6876 XOR 2 1 5674 6124 6877 AND 2 1 5654 6877 6878 XOR 2 1 6313 6878 6879 XOR 2 1 6690 6879 6880 XOR 2 1 6588 6880 6881 XOR 2 1 6409 6881 6882 XOR 2 1 6555 6882 6883 XOR 2 1 6876 6883 6884 XOR 2 1 981 6884 6885 XOR 2 1 6870 6885 6886 AND 2 1 6222 6766 6887 XOR 2 1 6706 6887 6888 XOR 2 1 6544 6888 6889 XOR 2 1 6440 6889 6890 XOR 2 1 6714 6727 6891 XOR 2 1 6586 6891 6892 XOR 2 1 6449 6892 6893 XOR 2 1 6615 6893 6894 XOR 2 1 6890 6894 6895 XOR 2 1 550 6895 6896 XOR 2 1 6886 6896 6897 XOR 2 1 5573 6404 6898 XOR 2 1 5761 6674 6899 XOR 2 1 6898 6899 6900 XOR 2 1 6762 6900 6901 XOR 2 1 6497 6901 6902 XOR 2 1 6710 6850 6903 XOR 2 1 6902 6903 6904 XOR 2 1 666 6904 6905 XOR 2 1 6082 6402 6906 AND 2 1 6068 6906 6907 XOR 2 1 6237 6907 6908 XOR 2 1 6670 6678 6909 AND 2 1 6668 6909 6910 XOR 2 1 6250 6910 6911 XOR 2 1 6908 6911 6912 XOR 2 1 6872 6912 6913 XOR 2 1 6555 6913 6914 XOR 2 1 5940 6291 6915 AND 2 1 5934 6915 6916 XOR 2 1 6315 6916 6917 XOR 2 1 6197 6423 6918 AND 2 1 6191 6918 6919 XOR 2 1 6354 6919 6920 XOR 2 1 6917 6920 6921 XOR 2 1 6878 6921 6922 XOR 2 1 6597 6922 6923 XOR 2 1 6819 6910 6924 XOR 2 1 6873 6924 6925 XOR 2 1 6531 6925 6926 XOR 2 1 6923 6926 6927 XOR 2 1 6914 6927 6928 XOR 2 1 668 6928 6929 XOR 2 1 6905 6929 6930 AND 2 1 6082 6235 6931 XOR 2 1 6248 6678 6932 XOR 2 1 6931 6932 6933 XOR 2 1 6766 6933 6934 XOR 2 1 6615 6934 6935 XOR 2 1 6623 6634 6936 XOR 2 1 6571 6678 6937 XOR 2 1 6887 6937 6938 XOR 2 1 6366 6938 6939 XOR 2 1 6936 6939 6940 XOR 2 1 6935 6940 6941 XOR 2 1 671 6941 6942 XOR 2 1 6930 6942 6943 XOR 2 1 5822 6637 6944 XOR 2 1 6085 6645 6945 XOR 2 1 5353 6586 6946 XOR 2 1 6899 6946 6947 XOR 2 1 6470 6947 6948 XOR 2 1 6945 6948 6949 XOR 2 1 6944 6949 6950 XOR 2 1 680 6950 6951 XOR 2 1 6255 6665 6952 XOR 2 1 6371 6695 6953 XOR 2 1 6107 6584 6954 AND 2 1 6089 6954 6955 XOR 2 1 6224 6955 6956 XOR 2 1 6911 6956 6957 XOR 2 1 6538 6957 6958 XOR 2 1 6953 6958 6959 XOR 2 1 6952 6959 6960 XOR 2 1 682 6960 6961 XOR 2 1 6951 6961 6962 AND 2 1 6436 6709 6963 XOR 2 1 6445 6717 6964 XOR 2 1 6107 6222 6965 XOR 2 1 6932 6965 6966 XOR 2 1 6536 6966 6967 XOR 2 1 6964 6967 6968 XOR 2 1 6963 6968 6969 XOR 2 1 685 6969 6970 XOR 2 1 6962 6970 6971 XOR 2 1 6943 6971 6972 XOR 2 1 6649 6948 6973 XOR 2 1 6847 6865 6974 XOR 2 1 6973 6974 6975 XOR 2 1 820 6975 6976 XOR 2 1 6701 6958 6977 XOR 2 1 6523 6602 6978 AND 2 1 6519 6978 6979 XOR 2 1 6313 6979 6980 XOR 2 1 6920 6980 6981 XOR 2 1 6580 6981 6982 XOR 2 1 6780 6982 6983 XOR 2 1 6875 6983 6984 XOR 2 1 6977 6984 6985 XOR 2 1 822 6985 6986 XOR 2 1 6976 6986 6987 AND 2 1 6721 6967 6988 XOR 2 1 6794 6802 6989 XOR 2 1 6889 6989 6990 XOR 2 1 6988 6990 6991 XOR 2 1 825 6991 6992 XOR 2 1 6987 6992 6993 XOR 2 1 6083 6937 6994 XOR 2 1 6327 6994 6995 XOR 2 1 6808 6995 6996 XOR 2 1 6446 6946 6997 XOR 2 1 6494 6997 6998 XOR 2 1 6996 6998 6999 XOR 2 1 6624 6999 7000 XOR 2 1 785 7000 7001 XOR 2 1 6508 6590 7002 AND 2 1 6501 7002 7003 XOR 2 1 6955 7003 7004 XOR 2 1 6253 7004 7005 XOR 2 1 6659 7005 7006 XOR 2 1 6822 7006 7007 XOR 2 1 6482 6544 7008 AND 2 1 6477 7008 7009 XOR 2 1 6979 7009 7010 XOR 2 1 6369 7010 7011 XOR 2 1 6689 7011 7012 XOR 2 1 6833 7012 7013 XOR 2 1 6048 6388 7014 AND 2 1 6038 7014 7015 XOR 2 1 6659 7015 7016 XOR 2 1 6956 7016 7017 XOR 2 1 6552 7017 7018 XOR 2 1 7013 7018 7019 XOR 2 1 7007 7019 7020 XOR 2 1 787 7020 7021 XOR 2 1 7001 7021 7022 AND 2 1 6511 6846 7023 XOR 2 1 6443 6524 7024 XOR 2 1 6687 7024 7025 XOR 2 1 6853 7025 7026 XOR 2 1 6049 6965 7027 XOR 2 1 6550 7027 7028 XOR 2 1 7026 7028 7029 XOR 2 1 7023 7029 7030 XOR 2 1 790 7030 7031 XOR 2 1 7022 7031 7032 XOR 2 1 6993 7032 7033 XOR 2 1 6972 7033 7034 XOR 2 1 6897 7034 7035 XOR 2 1 6863 7035 7036 XOR 2 1 6327 6766 7037 XOR 2 1 6612 7037 7038 XOR 2 1 6602 7038 7039 XOR 2 1 6739 6931 7040 XOR 2 1 6571 7040 7041 XOR 2 1 7039 7041 7042 XOR 2 1 6623 7042 7043 XOR 2 1 6893 7043 7044 XOR 2 1 776 7044 7045 XOR 2 1 5896 6687 7046 XOR 2 1 6178 7046 7047 XOR 2 1 6775 7047 7048 XOR 2 1 6471 6789 7049 XOR 2 1 6523 7049 7050 XOR 2 1 7048 7050 7051 XOR 2 1 6511 7051 7052 XOR 2 1 6162 7052 7053 XOR 2 1 781 7053 7054 XOR 2 1 7045 7054 7055 AND 2 1 6836 6872 7056 XOR 2 1 6539 7056 7057 XOR 2 1 6604 7057 7058 XOR 2 1 6738 6773 7059 AND 2 1 6732 7059 7060 XOR 2 1 7015 7060 7061 XOR 2 1 6908 7061 7062 XOR 2 1 6819 7062 7063 XOR 2 1 7058 7063 7064 XOR 2 1 6597 7064 7065 XOR 2 1 6882 7065 7066 XOR 2 1 836 7066 7067 XOR 2 1 7055 7067 7068 XOR 2 1 6641 6995 7069 XOR 2 1 6729 7069 7070 XOR 2 1 6936 7070 7071 XOR 2 1 964 7071 7072 XOR 2 1 6713 7025 7073 XOR 2 1 6787 7073 7074 XOR 2 1 6515 7074 7075 XOR 2 1 969 7075 7076 XOR 2 1 7072 7076 7077 AND 2 1 6662 6907 7078 XOR 2 1 6924 7078 7079 XOR 2 1 6836 7079 7080 XOR 2 1 6158 6685 7081 AND 2 1 6147 7081 7082 XOR 2 1 6252 7082 7083 XOR 2 1 6416 7003 7084 XOR 2 1 7083 7084 7085 XOR 2 1 7015 7085 7086 XOR 2 1 7080 7086 7087 XOR 2 1 6830 6919 7088 XOR 2 1 6879 7088 7089 XOR 2 1 6578 7089 7090 XOR 2 1 7087 7090 7091 XOR 2 1 6923 7091 7092 XOR 2 1 973 7092 7093 XOR 2 1 7077 7093 7094 XOR 2 1 6158 6273 7095 XOR 2 1 6451 7095 7096 XOR 2 1 6738 7096 7097 XOR 2 1 6934 7097 7098 XOR 2 1 6802 7098 7099 XOR 2 1 6964 7099 7100 XOR 2 1 417 7100 7101 XOR 2 1 6744 6902 7102 XOR 2 1 6646 7102 7103 XOR 2 1 422 7103 7104 XOR 2 1 7101 7104 7105 AND 2 1 6275 7082 7106 XOR 2 1 6417 7106 7107 XOR 2 1 7060 7107 7108 XOR 2 1 6913 7108 7109 XOR 2 1 6982 7109 7110 XOR 2 1 6953 7110 7111 XOR 2 1 598 7111 7112 XOR 2 1 7105 7112 7113 XOR 2 1 7094 7113 7114 XOR 2 1 6806 6939 7115 XOR 2 1 6892 7115 7116 XOR 2 1 6989 7116 7117 XOR 2 1 392 7117 7118 XOR 2 1 6526 6850 7119 XOR 2 1 6161 7119 7120 XOR 2 1 6745 7120 7121 XOR 2 1 397 7121 7122 XOR 2 1 7118 7122 7123 AND 2 1 6265 7060 7124 XOR 2 1 7084 7124 7125 XOR 2 1 6907 7125 7126 XOR 2 1 6926 7126 7127 XOR 2 1 6881 7127 7128 XOR 2 1 6983 7128 7129 XOR 2 1 583 7129 7130 XOR 2 1 7123 7130 7131 XOR 2 1 6454 6967 7132 XOR 2 1 6800 7046 7133 XOR 2 1 6592 7133 7134 XOR 2 1 7132 7134 7135 XOR 2 1 7026 7135 7136 XOR 2 1 384 7136 7137 XOR 2 1 6742 7095 7138 XOR 2 1 6508 7138 7139 XOR 2 1 6973 7139 7140 XOR 2 1 6809 7140 7141 XOR 2 1 525 7141 7142 XOR 1 1 7142 7143 INV 2 1 7137 7143 7144 AND 2 1 6428 6958 7145 XOR 2 1 5896 6273 7146 AND 2 1 5883 7146 7147 XOR 2 1 6689 7147 7148 XOR 2 1 6980 7148 7149 XOR 2 1 6594 7149 7150 XOR 2 1 7145 7150 7151 XOR 2 1 7013 7151 7152 XOR 2 1 528 7152 7153 XOR 2 1 7144 7153 7154 XOR 1 1 7154 7155 INV 2 1 7131 7155 7156 XOR 2 1 7114 7156 7157 XOR 2 1 7068 7157 7158 XOR 2 1 6811 6892 7159 XOR 2 1 7041 7139 7160 XOR 2 1 6634 7160 7161 XOR 2 1 7159 7161 7162 XOR 2 1 400 7162 7163 XOR 2 1 6792 6898 7164 XOR 2 1 6567 7164 7165 XOR 2 1 7050 7165 7166 XOR 2 1 6514 7166 7167 XOR 2 1 6867 7167 7168 XOR 2 1 405 7168 7169 XOR 2 1 7163 7169 7170 AND 2 1 5921 6283 7171 AND 2 1 5912 7171 7172 XOR 2 1 6380 7172 7173 XOR 2 1 6330 7173 7174 XOR 2 1 6919 7174 7175 XOR 2 1 6881 7175 7176 XOR 2 1 6815 7106 7177 XOR 2 1 7003 7177 7178 XOR 2 1 7063 7178 7179 XOR 2 1 6922 7179 7180 XOR 2 1 7176 7180 7181 XOR 2 1 589 7181 7182 XOR 2 1 7170 7182 7183 XOR 2 1 6652 6724 7184 AND 2 1 6470 6536 7185 AND 2 1 6464 7185 7186 XOR 2 1 6386 7186 7187 XOR 2 1 6559 7187 7188 XOR 2 1 7172 7188 7189 XOR 2 1 6922 7189 7190 XOR 2 1 6531 6654 7191 XOR 2 1 6837 7191 7192 XOR 2 1 6750 7192 7193 XOR 2 1 7086 7193 7194 XOR 2 1 6558 7009 7195 XOR 2 1 7173 7195 7196 XOR 2 1 6916 7196 7197 XOR 2 1 7194 7197 7198 XOR 2 1 7190 7198 7199 XOR 2 1 733 7199 7200 XOR 2 1 7184 7200 7201 XOR 2 1 7039 7097 7202 XOR 2 1 6620 7202 7203 XOR 2 1 6450 7203 7204 XOR 2 1 461 7204 7205 XOR 2 1 6901 7048 7206 XOR 2 1 6846 7206 7207 XOR 2 1 6945 7207 7208 XOR 2 1 466 7208 7209 XOR 2 1 7205 7209 7210 AND 2 1 7058 7108 7211 XOR 2 1 6576 7211 7212 XOR 2 1 6410 7212 7213 XOR 2 1 628 7213 7214 XOR 2 1 7210 7214 7215 XOR 2 1 7201 7215 7216 XOR 2 1 6814 6859 7217 AND 2 1 6576 6982 7218 XOR 2 1 6605 7191 7219 XOR 2 1 7082 7219 7220 XOR 2 1 7126 7220 7221 XOR 2 1 7175 7221 7222 XOR 2 1 7218 7222 7223 XOR 2 1 609 7223 7224 XOR 2 1 7217 7224 7225 XOR 2 1 6616 6791 7226 XOR 2 1 7073 7226 7227 XOR 2 1 569 7227 7228 XOR 2 1 6200 6948 7229 XOR 2 1 6844 7037 7230 XOR 2 1 6352 7230 7231 XOR 2 1 7229 7231 7232 XOR 2 1 6996 7232 7233 XOR 2 1 574 7233 7234 XOR 2 1 7228 7234 7235 AND 2 1 6683 7012 7236 XOR 2 1 6556 6771 7237 XOR 2 1 7236 7237 7238 XOR 2 1 740 7238 7239 XOR 2 1 7235 7239 7240 XOR 2 1 7225 7240 7241 XOR 2 1 7216 7241 7242 XOR 2 1 7183 7242 7243 XOR 2 1 7158 7243 7244 XOR 2 1 6788 7050 7245 XOR 2 1 7119 7245 7246 XOR 2 1 535 7246 7247 XOR 2 1 6607 6926 7248 XOR 2 1 6588 6825 7249 XOR 2 1 6393 7249 7250 XOR 2 1 6558 7250 7251 XOR 2 1 7090 7251 7252 XOR 2 1 7058 7252 7253 XOR 2 1 7248 7253 7254 XOR 2 1 643 7254 7255 XOR 2 1 7247 7255 7256 AND 2 1 6627 6939 7257 XOR 2 1 6729 6998 7258 XOR 2 1 7039 7258 7259 XOR 2 1 7257 7259 7260 XOR 2 1 537 7260 7261 XOR 2 1 7256 7261 7262 XOR 2 1 6993 7262 7263 XOR 2 1 6811 6998 7264 XOR 2 1 7139 7231 7265 XOR 2 1 6637 7265 7266 XOR 2 1 7264 7266 7267 XOR 2 1 444 7267 7268 XOR 2 1 6839 7018 7269 XOR 2 1 5573 6235 7270 AND 2 1 5553 7270 7271 XOR 2 1 6406 7271 7272 XOR 2 1 6778 7272 7273 XOR 2 1 6573 7273 7274 XOR 2 1 7150 7274 7275 XOR 2 1 6665 7275 7276 XOR 2 1 7269 7276 7277 XOR 2 1 446 7277 7278 XOR 2 1 7268 7278 7279 AND 2 1 6856 7028 7280 XOR 2 1 7134 7165 7281 XOR 2 1 6709 7281 7282 XOR 2 1 7280 7282 7283 XOR 2 1 449 7283 7284 XOR 2 1 7279 7284 7285 XOR 2 1 6897 7285 7286 XOR 2 1 7263 7286 7287 XOR 2 1 6631 7287 7288 XOR 2 1 7244 7288 7289 XOR 2 1 7036 7289 7290 XOR 2 1 6203 6457 7291 AND 2 1 6878 7271 7292 XOR 2 1 6581 7292 7293 XOR 2 1 6825 7293 7294 XOR 2 1 7147 7172 7295 XOR 2 1 6917 7295 7296 XOR 2 1 6830 7296 7297 XOR 2 1 7294 7297 7298 XOR 2 1 7016 7078 7299 XOR 2 1 6698 7299 7300 XOR 2 1 6751 7083 7301 XOR 2 1 6955 7301 7302 XOR 2 1 7300 7302 7303 XOR 2 1 6692 6916 7304 XOR 2 1 7088 7304 7305 XOR 2 1 7271 7305 7306 XOR 2 1 7303 7306 7307 XOR 2 1 7298 7307 7308 XOR 2 1 518 7308 7309 XOR 2 1 7291 7309 7310 XOR 2 1 6431 7310 7311 AND 2 1 6203 7311 7312 XOR 2 1 6529 6630 7313 AND 2 1 6368 7186 7314 XOR 2 1 7195 7314 7315 XOR 2 1 7147 7315 7316 XOR 2 1 7306 7316 7317 XOR 2 1 6657 7006 7318 XOR 2 1 7010 7295 7319 XOR 2 1 6692 7319 7320 XOR 2 1 7318 7320 7321 XOR 2 1 7317 7321 7322 XOR 2 1 810 7322 7323 XOR 2 1 7313 7323 7324 XOR 2 1 6610 7324 7325 AND 2 1 6529 7325 7326 XOR 2 1 6704 7201 7327 AND 2 1 6652 7327 7328 XOR 2 1 7326 7328 7329 XOR 2 1 6748 6798 7330 AND 2 1 7090 7197 7331 XOR 2 1 7004 7061 7332 XOR 2 1 6662 7332 7333 XOR 2 1 6817 7333 7334 XOR 2 1 7297 7334 7335 XOR 2 1 7331 7335 7336 XOR 2 1 1031 7336 7337 XOR 2 1 7330 7337 7338 XOR 2 1 6784 7338 7339 AND 2 1 6748 7339 7340 XOR 2 1 6842 7225 7341 AND 2 1 6814 7341 7342 XOR 2 1 7340 7342 7343 XOR 2 1 7329 7343 7344 XOR 2 1 7312 7344 7345 XOR 2 1 6870 6896 7346 AND 2 1 6826 7187 7347 XOR 2 1 7009 7347 7348 XOR 2 1 7297 7348 7349 XOR 2 1 6839 7302 7350 XOR 2 1 7316 7350 7351 XOR 2 1 7349 7351 7352 XOR 2 1 553 7352 7353 XOR 2 1 7346 7353 7354 XOR 2 1 6885 7354 7355 AND 2 1 6870 7355 7356 XOR 2 1 6905 6942 7357 AND 2 1 6578 6680 7358 XOR 2 1 7272 7358 7359 XOR 2 1 6768 7359 7360 XOR 2 1 7316 7360 7361 XOR 2 1 6666 6828 7362 XOR 2 1 7361 7362 7363 XOR 2 1 675 7363 7364 XOR 2 1 7357 7364 7365 XOR 2 1 6929 7365 7366 AND 2 1 6905 7366 7367 XOR 2 1 6951 6970 7368 AND 2 1 7189 7294 7369 XOR 2 1 7193 7300 7370 XOR 2 1 7249 7358 7371 XOR 2 1 7186 7371 7372 XOR 2 1 7370 7372 7373 XOR 2 1 7369 7373 7374 XOR 2 1 689 7374 7375 XOR 2 1 7368 7375 7376 XOR 2 1 6961 7376 7377 AND 2 1 6951 7377 7378 XOR 2 1 7367 7378 7379 XOR 2 1 6976 6992 7380 AND 2 1 7197 7372 7381 XOR 2 1 6823 7348 7382 XOR 2 1 7381 7382 7383 XOR 2 1 900 7383 7384 XOR 2 1 7380 7384 7385 XOR 2 1 6986 7385 7386 AND 2 1 6976 7386 7387 XOR 2 1 7001 7031 7388 AND 2 1 7080 7220 7389 XOR 2 1 7251 7389 7390 XOR 2 1 6598 7390 7391 XOR 2 1 843 7391 7392 XOR 2 1 7388 7392 7393 XOR 2 1 7021 7393 7394 AND 2 1 7001 7394 7395 XOR 2 1 7387 7395 7396 XOR 2 1 7379 7396 7397 XOR 2 1 7356 7397 7398 XOR 2 1 7345 7398 7399 XOR 2 1 7148 7304 7400 XOR 2 1 6777 7400 7401 XOR 2 1 7360 7401 7402 XOR 2 1 6822 7402 7403 XOR 2 1 7370 7403 7404 XOR 2 1 463 7404 7405 XOR 2 1 7205 7405 7406 AND 2 1 7209 7406 7407 XOR 2 1 7215 7407 7408 AND 2 1 7408 7405 7409 XOR 2 1 7032 7393 7410 AND 2 1 7021 7410 7411 XOR 2 1 6794 6935 7412 XOR 2 1 6718 7412 7413 XOR 2 1 793 7413 7414 XOR 2 1 7317 7333 7415 XOR 2 1 7087 7415 7416 XOR 2 1 795 7416 7417 XOR 2 1 7414 7417 7418 AND 2 1 6498 6741 7419 XOR 2 1 7069 7419 7420 XOR 2 1 798 7420 7421 XOR 2 1 7418 7421 7422 XOR 2 1 7414 7421 7423 AND 2 1 6780 6914 7424 XOR 2 1 6696 7424 7425 XOR 2 1 849 7425 7426 XOR 2 1 7423 7426 7427 XOR 2 1 7422 7427 7428 AND 2 1 7428 7417 7429 XOR 2 1 7411 7429 7430 XOR 2 1 6449 7257 7431 XOR 2 1 6795 7431 7432 XOR 2 1 452 7432 7433 XOR 2 1 6820 7056 7434 XOR 2 1 6654 7434 7435 XOR 2 1 7333 7435 7436 XOR 2 1 6831 7292 7437 XOR 2 1 6680 7437 7438 XOR 2 1 7320 7438 7439 XOR 2 1 7300 7439 7440 XOR 2 1 7436 7440 7441 XOR 2 1 454 7441 7442 XOR 2 1 7433 7442 7443 AND 2 1 6741 7231 7444 XOR 2 1 5675 6851 7445 XOR 2 1 6674 7445 7446 XOR 2 1 6526 7446 7447 XOR 2 1 6085 7447 7448 XOR 2 1 7444 7448 7449 XOR 2 1 457 7449 7450 XOR 2 1 7443 7450 7451 XOR 2 1 7433 7450 7452 AND 2 1 6409 7248 7453 XOR 2 1 6781 7453 7454 XOR 2 1 621 7454 7455 XOR 2 1 7452 7455 7456 XOR 2 1 7451 7456 7457 AND 2 1 7457 7442 7458 XOR 2 1 6988 7165 7459 XOR 2 1 6854 7459 7460 XOR 2 1 481 7460 7461 XOR 2 1 7063 7331 7462 XOR 2 1 7127 7462 7463 XOR 2 1 697 7463 7464 XOR 2 1 7461 7464 7465 AND 2 1 6730 7041 7466 XOR 2 1 7115 7466 7467 XOR 2 1 483 7467 7468 XOR 2 1 7465 7468 7469 XOR 2 1 7461 7468 7470 AND 2 1 6977 7274 7471 XOR 2 1 6834 7471 7472 XOR 2 1 486 7472 7473 XOR 2 1 7470 7473 7474 XOR 2 1 7469 7474 7475 AND 2 1 7475 7464 7476 XOR 2 1 7458 7476 7477 XOR 2 1 7430 7477 7478 XOR 2 1 7409 7478 7479 XOR 2 1 6769 7314 7480 XOR 2 1 6979 7480 7481 XOR 2 1 7401 7481 7482 XOR 2 1 7006 7482 7483 XOR 2 1 7303 7483 7484 XOR 2 1 778 7484 7485 XOR 2 1 7045 7485 7486 AND 2 1 7054 7486 7487 XOR 2 1 7068 7487 7488 AND 2 1 7488 7485 7489 XOR 2 1 6753 7236 7490 XOR 2 1 7318 7490 7491 XOR 2 1 966 7491 7492 XOR 2 1 7072 7492 7493 AND 2 1 7076 7493 7494 XOR 2 1 7094 7494 7495 AND 2 1 7495 7492 7496 XOR 2 1 6817 7361 7497 XOR 2 1 7194 7497 7498 XOR 2 1 419 7498 7499 XOR 2 1 7101 7499 7500 AND 2 1 7104 7500 7501 XOR 2 1 7113 7501 7502 AND 2 1 7502 7499 7503 XOR 2 1 7496 7503 7504 XOR 2 1 6828 7320 7505 XOR 2 1 7302 7505 7506 XOR 2 1 7334 7506 7507 XOR 2 1 394 7507 7508 XOR 2 1 7118 7508 7509 AND 2 1 7122 7509 7510 XOR 2 1 7131 7510 7511 AND 2 1 7511 7508 7512 XOR 2 1 7178 7381 7513 XOR 2 1 7221 7513 7514 XOR 2 1 883 7514 7515 XOR 2 1 7137 7515 7516 AND 2 1 7516 7143 7517 XOR 2 1 7517 7155 7518 AND 2 1 7518 7515 7519 XOR 2 1 7512 7519 7520 XOR 2 1 7504 7520 7521 XOR 2 1 7489 7521 7522 XOR 2 1 7479 7522 7523 XOR 2 1 7247 7261 7524 AND 2 1 6754 7481 7525 XOR 2 1 7505 7525 7526 XOR 2 1 540 7526 7527 XOR 2 1 7524 7527 7528 XOR 2 1 7255 7528 7529 AND 2 1 7247 7529 7530 XOR 2 1 7387 7530 7531 XOR 2 1 7268 7284 7532 AND 2 1 7175 7251 7533 XOR 2 1 7178 7435 7534 XOR 2 1 7189 7534 7535 XOR 2 1 7533 7535 7536 XOR 2 1 615 7536 7537 XOR 2 1 7532 7537 7538 XOR 2 1 7278 7538 7539 AND 2 1 7268 7539 7540 XOR 2 1 7356 7540 7541 XOR 2 1 7531 7541 7542 XOR 2 1 7326 7542 7543 XOR 2 1 7523 7543 7544 XOR 2 1 7399 7544 7545 XOR 2 1 7290 7545 7546 AND 2 1 7201 7324 7547 XOR 2 1 7225 7338 7548 XOR 2 1 7547 7548 7549 XOR 2 1 7310 7549 7550 XOR 2 1 7365 7376 7551 XOR 2 1 7385 7393 7552 XOR 2 1 7551 7552 7553 XOR 2 1 7354 7553 7554 XOR 2 1 7550 7554 7555 XOR 2 1 7032 7422 7556 XOR 2 1 7451 7469 7557 XOR 2 1 7556 7557 7558 XOR 2 1 7407 7558 7559 XOR 2 1 7494 7501 7560 XOR 2 1 7510 7517 7561 XOR 2 1 7560 7561 7562 XOR 2 1 7487 7562 7563 XOR 2 1 7559 7563 7564 XOR 2 1 7385 7528 7565 XOR 2 1 7354 7538 7566 XOR 2 1 7565 7566 7567 XOR 2 1 7324 7567 7568 XOR 2 1 7564 7568 7569 XOR 2 1 7555 7569 7570 XOR 2 1 7546 7570 7571 XOR 2 1 7023 7446 7572 XOR 2 1 7229 7572 7573 XOR 2 1 861 7573 7574 XOR 2 1 6240 7124 7575 XOR 2 1 6910 7575 7576 XOR 2 1 7218 7576 7577 XOR 2 1 7145 7577 7578 XOR 2 1 863 7578 7579 XOR 2 1 7574 7579 7580 AND 2 1 6433 6804 7581 XOR 2 1 6678 7581 7582 XOR 2 1 6803 7582 7583 XOR 2 1 7132 7583 7584 XOR 2 1 866 7584 7585 XOR 2 1 7580 7585 7586 XOR 2 1 7469 7586 7587 XOR 2 1 6865 7446 7588 XOR 2 1 6901 7280 7589 XOR 2 1 7588 7589 7590 XOR 2 1 651 7590 7591 XOR 2 1 6875 7576 7592 XOR 2 1 6913 7176 7593 XOR 2 1 7592 7593 7594 XOR 2 1 653 7594 7595 XOR 2 1 7591 7595 7596 AND 2 1 6889 7582 7597 XOR 2 1 6934 7159 7598 XOR 2 1 7597 7598 7599 XOR 2 1 656 7599 7600 XOR 2 1 7596 7600 7601 XOR 2 1 5822 7444 7602 XOR 2 1 7258 7602 7603 XOR 2 1 1049 7603 7604 XOR 2 1 6753 7018 7605 XOR 2 1 6771 7150 7606 XOR 2 1 6255 7606 7607 XOR 2 1 7605 7607 7608 XOR 2 1 1051 7608 7609 XOR 2 1 7604 7609 7610 AND 2 1 6787 7028 7611 XOR 2 1 6791 7134 7612 XOR 2 1 6436 7612 7613 XOR 2 1 7611 7613 7614 XOR 2 1 1054 7614 7615 XOR 2 1 7610 7615 7616 XOR 2 1 7601 7616 7617 XOR 2 1 7587 7617 7618 XOR 2 1 6943 7618 7619 XOR 2 1 7288 7619 7620 XOR 2 1 6627 7582 7621 XOR 2 1 6445 7621 7622 XOR 2 1 7612 7622 7623 XOR 2 1 408 7623 7624 XOR 2 1 6645 7588 7625 XOR 2 1 7265 7625 7626 XOR 2 1 413 7626 7627 XOR 2 1 7624 7627 7628 AND 2 1 6607 7576 7629 XOR 2 1 6371 7629 7630 XOR 2 1 7606 7630 7631 XOR 2 1 532 7631 7632 XOR 2 1 7628 7632 7633 XOR 2 1 7183 7633 7634 XOR 2 1 7548 7634 7635 XOR 2 1 7094 7635 7636 XOR 2 1 7456 7538 7637 XOR 2 1 7552 7637 7638 XOR 2 1 7201 7638 7639 XOR 2 1 7636 7639 7640 XOR 2 1 6713 6890 7641 XOR 2 1 7166 7641 7642 XOR 2 1 469 7642 7643 XOR 2 1 7080 7298 7644 XOR 2 1 7064 7644 7645 XOR 2 1 471 7645 7646 XOR 2 1 7643 7646 7647 AND 2 1 5980 6995 7648 XOR 2 1 7042 7648 7649 XOR 2 1 474 7649 7650 XOR 2 1 7647 7650 7651 XOR 2 1 7601 7651 7652 XOR 2 1 6972 7652 7653 XOR 2 1 7262 7653 7654 XOR 2 1 7640 7654 7655 XOR 2 1 7620 7655 7656 XOR 2 1 7464 7474 7657 AND 2 1 7461 7657 7658 XOR 2 1 7574 7585 7659 AND 2 1 7306 7372 7660 XOR 2 1 7007 7438 7661 XOR 2 1 7660 7661 7662 XOR 2 1 870 7662 7663 XOR 2 1 7659 7663 7664 XOR 2 1 7579 7664 7665 AND 2 1 7574 7665 7666 XOR 2 1 7658 7666 7667 XOR 2 1 7591 7600 7668 AND 2 1 7348 7438 7669 XOR 2 1 7269 7360 7670 XOR 2 1 7669 7670 7671 XOR 2 1 660 7671 7672 XOR 2 1 7668 7672 7673 XOR 2 1 7595 7673 7674 AND 2 1 7591 7674 7675 XOR 2 1 7604 7615 7676 AND 2 1 7294 7436 7677 XOR 2 1 7252 7677 7678 XOR 2 1 1058 7678 7679 XOR 2 1 7676 7679 7680 XOR 2 1 7609 7680 7681 AND 2 1 7604 7681 7682 XOR 2 1 7675 7682 7683 XOR 2 1 7667 7683 7684 XOR 2 1 7367 7684 7685 XOR 2 1 7543 7685 7686 XOR 2 1 7616 7680 7687 AND 2 1 7609 7687 7688 XOR 2 1 7512 7688 7689 XOR 2 1 6717 7597 7690 XOR 2 1 7281 7690 7691 XOR 2 1 489 7691 7692 XOR 2 1 7086 7349 7693 XOR 2 1 7179 7693 7694 XOR 2 1 703 7694 7695 XOR 2 1 7692 7695 7696 AND 2 1 6641 6866 7697 XOR 2 1 7160 7697 7698 XOR 2 1 491 7698 7699 XOR 2 1 7696 7699 7700 XOR 2 1 7692 7699 7701 AND 2 1 6695 7592 7702 XOR 2 1 7275 7702 7703 XOR 2 1 494 7703 7704 XOR 2 1 7701 7704 7705 XOR 2 1 7700 7705 7706 AND 2 1 7706 7695 7707 XOR 2 1 7489 7707 7708 XOR 2 1 7689 7708 7709 XOR 2 1 7411 7709 7710 XOR 2 1 6799 7338 7711 AND 2 1 6784 7711 7712 XOR 2 1 6860 7225 7713 AND 2 1 6842 7713 7714 XOR 2 1 7712 7714 7715 XOR 2 1 7274 7481 7716 XOR 2 1 6657 7716 7717 XOR 2 1 7350 7717 7718 XOR 2 1 402 7718 7719 XOR 2 1 7163 7719 7720 AND 2 1 7169 7720 7721 XOR 2 1 7183 7721 7722 AND 2 1 7722 7719 7723 XOR 2 1 7193 7669 7724 XOR 2 1 7534 7724 7725 XOR 2 1 410 7725 7726 XOR 2 1 7624 7726 7727 AND 2 1 7627 7727 7728 XOR 2 1 7633 7728 7729 AND 2 1 7729 7726 7730 XOR 2 1 7723 7730 7731 XOR 2 1 7715 7731 7732 XOR 2 1 7496 7732 7733 XOR 2 1 7710 7733 7734 XOR 2 1 7643 7650 7735 AND 2 1 6683 6876 7736 XOR 2 1 7716 7736 7737 XOR 2 1 634 7737 7738 XOR 2 1 7735 7738 7739 XOR 2 1 7646 7739 7740 AND 2 1 7643 7740 7741 XOR 2 1 7675 7741 7742 XOR 2 1 7379 7742 7743 XOR 2 1 7530 7743 7744 XOR 2 1 7734 7744 7745 XOR 2 1 7686 7745 7746 XOR 2 1 7656 7746 7747 AND 2 1 7474 7664 7748 XOR 2 1 7673 7680 7749 XOR 2 1 7748 7749 7750 XOR 2 1 7365 7750 7751 XOR 2 1 7568 7751 7752 XOR 2 1 7510 7616 7753 XOR 2 1 7487 7700 7754 XOR 2 1 7753 7754 7755 XOR 2 1 7032 7755 7756 XOR 2 1 7721 7728 7757 XOR 2 1 6861 7757 7758 XOR 2 1 7494 7758 7759 XOR 2 1 7756 7759 7760 XOR 2 1 7673 7739 7761 XOR 2 1 7551 7761 7762 XOR 2 1 7528 7762 7763 XOR 2 1 7760 7763 7764 XOR 2 1 7752 7764 7765 XOR 2 1 7747 7765 7766 XOR 2 1 7285 7451 7767 XOR 2 1 7033 7767 7768 XOR 2 1 6725 7768 7769 XOR 2 1 7494 7586 7770 XOR 2 1 7753 7770 7771 XOR 2 1 6971 7771 7772 XOR 2 1 7769 7772 7773 XOR 2 1 7528 7633 7774 XOR 2 1 7241 7774 7775 XOR 2 1 7113 7775 7776 XOR 2 1 7393 7427 7777 XOR 2 1 7456 7474 7778 XOR 2 1 7777 7778 7779 XOR 2 1 7215 7779 7780 XOR 2 1 7776 7780 7781 XOR 2 1 6971 7487 7782 XOR 2 1 7617 7782 7783 XOR 2 1 6993 7783 7784 XOR 2 1 7781 7784 7785 XOR 2 1 7773 7785 7786 XOR 2 1 7442 7456 7787 AND 2 1 7433 7787 7788 XOR 2 1 7540 7788 7789 XOR 2 1 7396 7789 7790 XOR 2 1 7328 7790 7791 XOR 2 1 7094 7492 7792 AND 2 1 7072 7792 7793 XOR 2 1 7666 7793 7794 XOR 2 1 7131 7508 7795 AND 2 1 7118 7795 7796 XOR 2 1 7682 7796 7797 XOR 2 1 7794 7797 7798 XOR 2 1 7378 7798 7799 XOR 2 1 7791 7799 7800 XOR 2 1 7048 7611 7801 XOR 2 1 7447 7801 7802 XOR 2 1 497 7802 7803 XOR 2 1 7108 7533 7804 XOR 2 1 7629 7804 7805 XOR 2 1 709 7805 7806 XOR 2 1 7803 7806 7807 AND 2 1 7097 7264 7808 XOR 2 1 7621 7808 7809 XOR 2 1 499 7809 7810 XOR 2 1 7807 7810 7811 XOR 2 1 7803 7810 7812 AND 2 1 7401 7605 7813 XOR 2 1 7439 7813 7814 XOR 2 1 502 7814 7815 XOR 2 1 7812 7815 7816 XOR 2 1 7811 7816 7817 AND 2 1 7817 7806 7818 XOR 2 1 7707 7818 7819 XOR 2 1 7520 7819 7820 XOR 2 1 7429 7820 7821 XOR 2 1 7435 7660 7822 XOR 2 1 7389 7822 7823 XOR 2 1 571 7823 7824 XOR 2 1 7228 7824 7825 AND 2 1 7234 7825 7826 XOR 2 1 7240 7826 7827 AND 2 1 7827 7824 7828 XOR 2 1 7714 7828 7829 XOR 2 1 7262 7528 7830 AND 2 1 7255 7830 7831 XOR 2 1 7730 7831 7832 XOR 2 1 7829 7832 7833 XOR 2 1 7503 7833 7834 XOR 2 1 7821 7834 7835 XOR 2 1 7068 7485 7836 AND 2 1 7045 7836 7837 XOR 2 1 7378 7837 7838 XOR 2 1 7683 7838 7839 XOR 2 1 7387 7839 7840 XOR 2 1 7835 7840 7841 XOR 2 1 7800 7841 7842 XOR 2 1 7786 7842 7843 AND 2 1 7094 7664 7844 XOR 2 1 7131 7680 7845 XOR 2 1 7844 7845 7846 XOR 2 1 7376 7846 7847 XOR 2 1 7639 7847 7848 XOR 2 1 7700 7811 7849 XOR 2 1 7561 7849 7850 XOR 2 1 7422 7850 7851 XOR 2 1 6860 7826 7852 XOR 2 1 7262 7728 7853 XOR 2 1 7852 7853 7854 XOR 2 1 7501 7854 7855 XOR 2 1 7851 7855 7856 XOR 2 1 7068 7376 7857 XOR 2 1 7749 7857 7858 XOR 2 1 7385 7858 7859 XOR 2 1 7856 7859 7860 XOR 2 1 7848 7860 7861 XOR 2 1 7843 7861 7862 XOR 2 1 7766 7862 7863 XOR 2 1 6725 7407 7864 XOR 2 1 7286 7864 7865 XOR 2 1 6799 7865 7866 XOR 2 1 7784 7866 7867 XOR 2 1 6441 7025 7868 XOR 2 1 7051 7868 7869 XOR 2 1 425 7869 7870 XOR 2 1 6808 6944 7871 XOR 2 1 7202 7871 7872 XOR 2 1 430 7872 7873 XOR 2 1 7870 7873 7874 AND 2 1 6304 7012 7875 XOR 2 1 7482 7875 7876 XOR 2 1 545 7876 7877 XOR 2 1 7874 7877 7878 XOR 2 1 7113 7878 7879 XOR 2 1 7634 7879 7880 XOR 2 1 7131 7880 7881 XOR 2 1 7215 7739 7882 XOR 2 1 7637 7882 7883 XOR 2 1 7225 7883 7884 XOR 2 1 7881 7884 7885 XOR 2 1 7035 7885 7886 XOR 2 1 7867 7886 7887 XOR 2 1 7215 7405 7888 AND 2 1 7205 7888 7889 XOR 2 1 7328 7889 7890 XOR 2 1 7541 7890 7891 XOR 2 1 7340 7891 7892 XOR 2 1 7840 7892 7893 XOR 2 1 6853 6963 7894 XOR 2 1 7206 7894 7895 XOR 2 1 506 7895 7896 XOR 2 1 7126 7190 7897 XOR 2 1 7109 7897 7898 XOR 2 1 716 7898 7899 XOR 2 1 7896 7899 7900 AND 2 1 6638 6806 7901 XOR 2 1 7098 7901 7902 XOR 2 1 508 7902 7903 XOR 2 1 7900 7903 7904 XOR 2 1 7896 7903 7905 AND 2 1 6833 6952 7906 XOR 2 1 7402 7906 7907 XOR 2 1 511 7907 7908 XOR 2 1 7905 7908 7909 XOR 2 1 7904 7909 7910 AND 2 1 7910 7899 7911 XOR 2 1 7429 7911 7912 XOR 2 1 7708 7912 7913 XOR 2 1 7458 7913 7914 XOR 2 1 7220 7369 7915 XOR 2 1 7211 7915 7916 XOR 2 1 427 7916 7917 XOR 2 1 7870 7917 7918 AND 2 1 7873 7918 7919 XOR 2 1 7878 7919 7920 AND 2 1 7920 7917 7921 XOR 2 1 7503 7921 7922 XOR 2 1 7731 7922 7923 XOR 2 1 7512 7923 7924 XOR 2 1 7914 7924 7925 XOR 2 1 7398 7925 7926 XOR 2 1 7893 7926 7927 XOR 2 1 7887 7927 7928 AND 2 1 7216 7566 7929 XOR 2 1 7338 7929 7930 XOR 2 1 7859 7930 7931 XOR 2 1 7422 7904 7932 XOR 2 1 7754 7932 7933 XOR 2 1 7451 7933 7934 XOR 2 1 7501 7919 7935 XOR 2 1 7757 7935 7936 XOR 2 1 7510 7936 7937 XOR 2 1 7934 7937 7938 XOR 2 1 7554 7938 7939 XOR 2 1 7931 7939 7940 XOR 2 1 7928 7940 7941 XOR 2 1 7407 7651 7942 XOR 2 1 7767 7942 7943 XOR 2 1 6860 7943 7944 XOR 2 1 7756 7944 7945 XOR 2 1 7354 7878 7946 XOR 2 1 7774 7946 7947 XOR 2 1 7947 7155 7948 XOR 2 1 7761 7778 7949 XOR 2 1 7240 7949 7950 XOR 2 1 7948 7950 7951 XOR 2 1 7556 7782 7952 XOR 2 1 7285 7952 7953 XOR 2 1 7951 7953 7954 XOR 2 1 7945 7954 7955 XOR 2 1 7741 7889 7956 XOR 2 1 7789 7956 7957 XOR 2 1 7342 7957 7958 XOR 2 1 7695 7705 7959 AND 2 1 7692 7959 7960 XOR 2 1 7837 7960 7961 XOR 2 1 7797 7961 7962 XOR 2 1 7395 7962 7963 XOR 2 1 7958 7963 7964 XOR 2 1 6458 7310 7965 AND 2 1 6431 7965 7966 XOR 2 1 7911 7966 7967 XOR 2 1 7819 7967 7968 XOR 2 1 7476 7968 7969 XOR 2 1 6897 7354 7970 AND 2 1 6885 7970 7971 XOR 2 1 7921 7971 7972 XOR 2 1 7832 7972 7973 XOR 2 1 7519 7973 7974 XOR 2 1 7969 7974 7975 XOR 2 1 7417 7427 7976 AND 2 1 7414 7976 7977 XOR 2 1 7395 7977 7978 XOR 2 1 7838 7978 7979 XOR 2 1 7540 7979 7980 XOR 2 1 7975 7980 7981 XOR 2 1 7964 7981 7982 XOR 2 1 7955 7982 7983 AND 2 1 7068 7705 7984 XOR 2 1 7845 7984 7985 XOR 2 1 7393 7985 7986 XOR 2 1 7884 7986 7987 XOR 2 1 6458 7904 7988 XOR 2 1 7849 7988 7989 XOR 2 1 7469 7989 7990 XOR 2 1 6897 7919 7991 XOR 2 1 7853 7991 7992 XOR 2 1 7517 7992 7993 XOR 2 1 7990 7993 7994 XOR 2 1 7777 7857 7995 XOR 2 1 7538 7995 7996 XOR 2 1 7994 7996 7997 XOR 2 1 7987 7997 7998 XOR 2 1 7983 7998 7999 XOR 2 1 7941 7999 8000 XOR 2 1 7863 8000 8001 XOR 2 1 7571 8001 8002 XOR 2 1 7770 7988 8003 XOR 2 1 7601 8003 8004 XOR 2 1 7035 8004 8005 XOR 2 1 7243 7996 8006 XOR 2 1 7619 8006 8007 XOR 2 1 8005 8007 8008 XOR 2 1 7899 7909 8009 AND 2 1 7896 8009 8010 XOR 2 1 7312 8010 8011 XOR 2 1 7794 8011 8012 XOR 2 1 7675 8012 8013 XOR 2 1 7398 8013 8014 XOR 2 1 6725 7201 8015 AND 2 1 6704 8015 8016 XOR 2 1 7409 8016 8017 XOR 2 1 7829 8017 8018 XOR 2 1 7723 8018 8019 XOR 2 1 7522 8019 8020 XOR 2 1 7685 8020 8021 XOR 2 1 8014 8021 8022 XOR 2 1 8008 8022 8023 AND 2 1 7310 7909 8024 XOR 2 1 7844 8024 8025 XOR 2 1 7673 8025 8026 XOR 2 1 7554 8026 8027 XOR 2 1 7852 7864 8028 XOR 2 1 7721 8028 8029 XOR 2 1 7563 8029 8030 XOR 2 1 7751 8030 8031 XOR 2 1 8027 8031 8032 XOR 2 1 8023 8032 8033 XOR 2 1 6631 7517 8034 XOR 2 1 6799 7811 8035 XOR 2 1 8034 8035 8036 XOR 2 1 7904 8036 8037 XOR 2 1 7619 8037 8038 XOR 2 1 7848 7990 8039 XOR 2 1 8038 8039 8040 XOR 2 1 7515 7155 8041 AND 2 1 7137 8041 8042 XOR 2 1 7326 8042 8043 XOR 2 1 7806 7816 8044 AND 2 1 7803 8044 8045 XOR 2 1 7340 8045 8046 XOR 2 1 8043 8046 8047 XOR 2 1 8010 8047 8048 XOR 2 1 7685 8048 8049 XOR 2 1 6993 7385 8050 AND 2 1 6986 8050 8051 XOR 2 1 7411 8051 8052 XOR 2 1 7285 7538 8053 AND 2 1 7278 8053 8054 XOR 2 1 7458 8054 8055 XOR 2 1 8052 8055 8056 XOR 2 1 8016 8056 8057 XOR 2 1 7733 8057 8058 XOR 2 1 7960 8045 8059 XOR 2 1 8011 8059 8060 XOR 2 1 7658 8060 8061 XOR 2 1 8058 8061 8062 XOR 2 1 8049 8062 8063 XOR 2 1 8040 8063 8064 AND 2 1 7324 7155 8065 XOR 2 1 7338 7816 8066 XOR 2 1 8065 8066 8067 XOR 2 1 7909 8067 8068 XOR 2 1 7751 8068 8069 XOR 2 1 7759 7769 8070 XOR 2 1 7705 7816 8071 XOR 2 1 8024 8071 8072 XOR 2 1 7474 8072 8073 XOR 2 1 8070 8073 8074 XOR 2 1 8069 8074 8075 XOR 2 1 8064 8075 8076 XOR 2 1 6863 7772 8077 XOR 2 1 7158 7780 8078 XOR 2 1 6458 7721 8079 XOR 2 1 8035 8079 8080 XOR 2 1 7586 8080 8081 XOR 2 1 8078 8081 8082 XOR 2 1 8077 8082 8083 XOR 2 1 7345 7799 8084 XOR 2 1 7479 7834 8085 XOR 2 1 7183 7719 8086 AND 2 1 7163 8086 8087 XOR 2 1 7312 8087 8088 XOR 2 1 8046 8088 8089 XOR 2 1 7666 8089 8090 XOR 2 1 8085 8090 8091 XOR 2 1 8084 8091 8092 XOR 2 1 8083 8092 8093 AND 2 1 7550 7847 8094 XOR 2 1 7559 7855 8095 XOR 2 1 7183 7310 8096 XOR 2 1 8066 8096 8097 XOR 2 1 7664 8097 8098 XOR 2 1 8095 8098 8099 XOR 2 1 8094 8099 8100 XOR 2 1 8093 8100 8101 XOR 2 1 8076 8101 8102 XOR 2 1 7784 8081 8103 XOR 2 1 7987 8004 8104 XOR 2 1 8103 8104 8105 XOR 2 1 7840 8090 8106 XOR 2 1 7651 7739 8107 AND 2 1 7646 8107 8108 XOR 2 1 7409 8108 8109 XOR 2 1 8055 8109 8110 XOR 2 1 7714 8110 8111 XOR 2 1 7924 8111 8112 XOR 2 1 8013 8112 8113 XOR 2 1 8106 8113 8114 XOR 2 1 8105 8114 8115 AND 2 1 7859 8098 8116 XOR 2 1 7937 7944 8117 XOR 2 1 8026 8117 8118 XOR 2 1 8116 8118 8119 XOR 2 1 8115 8119 8120 XOR 2 1 7156 8071 8121 XOR 2 1 7427 8121 8122 XOR 2 1 7950 8122 8123 XOR 2 1 7560 8079 8124 XOR 2 1 7616 8124 8125 XOR 2 1 8123 8125 8126 XOR 2 1 7760 8126 8127 XOR 2 1 7633 7726 8128 AND 2 1 7624 8128 8129 XOR 2 1 8087 8129 8130 XOR 2 1 7343 8130 8131 XOR 2 1 7793 8131 8132 XOR 2 1 7963 8132 8133 XOR 2 1 7601 7673 8134 AND 2 1 7595 8134 8135 XOR 2 1 8108 8135 8136 XOR 2 1 7477 8136 8137 XOR 2 1 7828 8137 8138 XOR 2 1 7974 8138 8139 XOR 2 1 7113 7499 8140 AND 2 1 7101 8140 8141 XOR 2 1 7793 8141 8142 XOR 2 1 8088 8142 8143 XOR 2 1 7682 8143 8144 XOR 2 1 8139 8144 8145 XOR 2 1 8133 8145 8146 XOR 2 1 8127 8146 8147 AND 2 1 7636 7986 8148 XOR 2 1 7557 7652 8149 XOR 2 1 7826 8149 8150 XOR 2 1 7993 8150 8151 XOR 2 1 7114 8096 8152 XOR 2 1 7680 8152 8153 XOR 2 1 8151 8153 8154 XOR 2 1 8148 8154 8155 XOR 2 1 8147 8155 8156 XOR 2 1 8120 8156 8157 XOR 2 1 8102 8157 8158 XOR 2 1 8033 8158 8159 XOR 2 1 8002 8159 8160 XOR 2 1 7427 7909 8161 XOR 2 1 7748 8161 8162 XOR 2 1 7739 8162 8163 XOR 2 1 7879 8065 8164 XOR 2 1 7705 8164 8165 XOR 2 1 8163 8165 8166 XOR 2 1 7759 8166 8167 XOR 2 1 8030 8167 8168 XOR 2 1 6943 7826 8169 XOR 2 1 7263 8169 8170 XOR 2 1 7919 8170 8171 XOR 2 1 7587 7932 8172 XOR 2 1 7651 8172 8173 XOR 2 1 8171 8173 8174 XOR 2 1 7636 8174 8175 XOR 2 1 7244 8175 8176 XOR 2 1 8168 8176 8177 AND 2 1 7977 8010 8178 XOR 2 1 7667 8178 8179 XOR 2 1 7741 8179 8180 XOR 2 1 7878 7917 8181 AND 2 1 7870 8181 8182 XOR 2 1 8141 8182 8183 XOR 2 1 8043 8183 8184 XOR 2 1 7960 8184 8185 XOR 2 1 8180 8185 8186 XOR 2 1 7733 8186 8187 XOR 2 1 8020 8187 8188 XOR 2 1 8177 8188 8189 XOR 2 1 7776 8122 8190 XOR 2 1 7866 8190 8191 XOR 2 1 8070 8191 8192 XOR 2 1 7851 8150 8193 XOR 2 1 7930 8193 8194 XOR 2 1 7640 8194 8195 XOR 2 1 8192 8195 8196 AND 2 1 7796 8042 8197 XOR 2 1 8059 8197 8198 XOR 2 1 7977 8198 8199 XOR 2 1 7240 7824 8200 AND 2 1 7228 8200 8201 XOR 2 1 7342 8201 8202 XOR 2 1 7530 8129 8203 XOR 2 1 8202 8203 8204 XOR 2 1 8141 8204 8205 XOR 2 1 8199 8205 8206 XOR 2 1 7971 8054 8207 XOR 2 1 8017 8207 8208 XOR 2 1 7712 8208 8209 XOR 2 1 8206 8209 8210 XOR 2 1 8058 8210 8211 XOR 2 1 8196 8211 8212 XOR 2 1 7240 7365 8213 XOR 2 1 7565 8213 8214 XOR 2 1 7878 8214 8215 XOR 2 1 8068 8215 8216 XOR 2 1 7944 8216 8217 XOR 2 1 8095 8217 8218 XOR 2 1 7884 8038 8219 XOR 2 1 7781 8219 8220 XOR 2 1 8218 8220 8221 AND 2 1 7367 8201 8222 XOR 2 1 7531 8222 8223 XOR 2 1 8182 8223 8224 XOR 2 1 8048 8224 8225 XOR 2 1 8111 8225 8226 XOR 2 1 8085 8226 8227 XOR 2 1 8221 8227 8228 XOR 2 1 8212 8228 8229 XOR 2 1 7948 8073 8230 XOR 2 1 8029 8230 8231 XOR 2 1 8117 8231 8232 XOR 2 1 7654 7990 8233 XOR 2 1 7243 8233 8234 XOR 2 1 7885 8234 8235 XOR 2 1 8232 8235 8236 AND 2 1 7356 8182 8237 XOR 2 1 8203 8237 8238 XOR 2 1 8042 8238 8239 XOR 2 1 8061 8239 8240 XOR 2 1 8019 8240 8241 XOR 2 1 8112 8241 8242 XOR 2 1 8236 8242 8243 XOR 2 1 7568 8098 8244 XOR 2 1 7942 8169 8245 XOR 2 1 7728 8245 8246 XOR 2 1 8244 8246 8247 XOR 2 1 8151 8247 8248 XOR 2 1 7882 8213 8249 XOR 2 1 7633 8249 8250 XOR 2 1 8103 8250 8251 XOR 2 1 7951 8251 8252 XOR 2 1 8248 8252 8253 AND 2 1 7543 8090 8254 XOR 2 1 6943 7365 8255 AND 2 1 6929 8255 8256 XOR 2 1 7828 8256 8257 XOR 2 1 8109 8257 8258 XOR 2 1 7730 8258 8259 XOR 2 1 8254 8259 8260 XOR 2 1 8139 8260 8261 XOR 2 1 8253 8261 8262 XOR 2 1 8243 8262 8263 XOR 2 1 8229 8263 8264 XOR 2 1 8189 8264 8265 XOR 2 1 7953 8029 8266 XOR 2 1 8165 8250 8267 XOR 2 1 7769 8267 8268 XOR 2 1 8266 8268 8269 XOR 2 1 7935 8034 8270 XOR 2 1 7700 8270 8271 XOR 2 1 8173 8271 8272 XOR 2 1 7639 8272 8273 XOR 2 1 8006 8273 8274 XOR 2 1 8269 8274 8275 AND 2 1 6971 7376 8276 AND 2 1 6961 8276 8277 XOR 2 1 7489 8277 8278 XOR 2 1 7430 8278 8279 XOR 2 1 8054 8279 8280 XOR 2 1 8019 8280 8281 XOR 2 1 7956 8222 8282 XOR 2 1 8129 8282 8283 XOR 2 1 8185 8283 8284 XOR 2 1 8057 8284 8285 XOR 2 1 8281 8285 8286 XOR 2 1 8275 8286 8287 XOR 2 1 7786 7861 8288 AND 2 1 7586 7664 8289 AND 2 1 7579 8289 8290 XOR 2 1 7496 8290 8291 XOR 2 1 7689 8291 8292 XOR 2 1 8277 8292 8293 XOR 2 1 8057 8293 8294 XOR 2 1 7658 7788 8295 XOR 2 1 7978 8295 8296 XOR 2 1 7889 8296 8297 XOR 2 1 8205 8297 8298 XOR 2 1 7688 8135 8299 XOR 2 1 8278 8299 8300 XOR 2 1 8051 8300 8301 XOR 2 1 8298 8301 8302 XOR 2 1 8294 8302 8303 XOR 2 1 8288 8303 8304 XOR 2 1 8163 8215 8305 XOR 2 1 7756 8305 8306 XOR 2 1 7564 8306 8307 XOR 2 1 8037 8171 8308 XOR 2 1 7986 8308 8309 XOR 2 1 8078 8309 8310 XOR 2 1 8307 8310 8311 AND 2 1 8180 8224 8312 XOR 2 1 7710 8312 8313 XOR 2 1 7523 8313 8314 XOR 2 1 8311 8314 8315 XOR 2 1 8304 8315 8316 XOR 2 1 7955 7998 8317 AND 2 1 7710 8111 8318 XOR 2 1 7742 8295 8319 XOR 2 1 8201 8319 8320 XOR 2 1 8239 8320 8321 XOR 2 1 8280 8321 8322 XOR 2 1 8318 8322 8323 XOR 2 1 8317 8323 8324 XOR 2 1 7752 7934 8325 XOR 2 1 8193 8325 8326 XOR 2 1 7288 8081 8327 XOR 2 1 7984 8161 8328 XOR 2 1 7456 8328 8329 XOR 2 1 8327 8329 8330 XOR 2 1 8123 8330 8331 XOR 2 1 8326 8331 8332 AND 2 1 7821 8138 8333 XOR 2 1 7686 7914 8334 XOR 2 1 8333 8334 8335 XOR 2 1 8332 8335 8336 XOR 2 1 8324 8336 8337 XOR 2 1 8316 8337 8338 XOR 2 1 8287 8338 8339 XOR 2 1 8265 8339 8340 XOR 2 1 7931 8173 8341 XOR 2 1 8233 8341 8342 XOR 2 1 7744 8061 8343 XOR 2 1 7723 7966 8344 XOR 2 1 7504 8344 8345 XOR 2 1 7688 8345 8346 XOR 2 1 8209 8346 8347 XOR 2 1 8180 8347 8348 XOR 2 1 8343 8348 8349 XOR 2 1 8342 8349 8350 AND 2 1 7763 8073 8351 XOR 2 1 7866 8125 8352 XOR 2 1 8163 8352 8353 XOR 2 1 8351 8353 8354 XOR 2 1 8350 8354 8355 XOR 2 1 8120 8355 8356 XOR 2 1 7953 8125 8357 XOR 2 1 8250 8329 8358 XOR 2 1 7772 8358 8359 XOR 2 1 8357 8359 8360 XOR 2 1 7980 8144 8361 XOR 2 1 6631 7324 8362 AND 2 1 6610 8362 8363 XOR 2 1 7519 8363 8364 XOR 2 1 7922 8364 8365 XOR 2 1 7707 8365 8366 XOR 2 1 8259 8366 8367 XOR 2 1 7799 8367 8368 XOR 2 1 8361 8368 8369 XOR 2 1 8360 8369 8370 AND 2 1 7996 8153 8371 XOR 2 1 8246 8271 8372 XOR 2 1 7847 8372 8373 XOR 2 1 8371 8373 8374 XOR 2 1 8370 8374 8375 XOR 2 1 8033 8375 8376 XOR 2 1 8356 8376 8377 XOR 2 1 7766 8377 8378 XOR 2 1 8340 8378 8379 XOR 2 1 8160 8379 8380 XOR 2 1 387 8380 8381 XOR 2 1 7290 7570 8382 AND 2 1 8016 8363 8383 XOR 2 1 7715 8383 8384 XOR 2 1 7966 8384 8385 XOR 2 1 8256 8277 8386 XOR 2 1 8052 8386 8387 XOR 2 1 7971 8387 8388 XOR 2 1 8385 8388 8389 XOR 2 1 8142 8197 8390 XOR 2 1 7837 8390 8391 XOR 2 1 7890 8202 8392 XOR 2 1 8087 8392 8393 XOR 2 1 8391 8393 8394 XOR 2 1 7831 8051 8395 XOR 2 1 8207 8395 8396 XOR 2 1 8363 8396 8397 XOR 2 1 8394 8397 8398 XOR 2 1 8389 8398 8399 XOR 2 1 8382 8399 8400 XOR 2 1 7545 8400 8401 AND 2 1 7290 8401 8402 XOR 2 1 7656 7765 8403 AND 2 1 7476 8290 8404 XOR 2 1 8299 8404 8405 XOR 2 1 8256 8405 8406 XOR 2 1 8397 8406 8407 XOR 2 1 7791 8132 8408 XOR 2 1 8136 8386 8409 XOR 2 1 7831 8409 8410 XOR 2 1 8408 8410 8411 XOR 2 1 8407 8411 8412 XOR 2 1 8403 8412 8413 XOR 2 1 7746 8413 8414 AND 2 1 7656 8414 8415 XOR 2 1 7842 8304 8416 AND 2 1 7786 8416 8417 XOR 2 1 8415 8417 8418 XOR 2 1 7887 7940 8419 AND 2 1 8209 8301 8420 XOR 2 1 8130 8183 8421 XOR 2 1 7796 8421 8422 XOR 2 1 7958 8422 8423 XOR 2 1 8388 8423 8424 XOR 2 1 8420 8424 8425 XOR 2 1 8419 8425 8426 XOR 2 1 7927 8426 8427 AND 2 1 7887 8427 8428 XOR 2 1 7982 8324 8429 AND 2 1 7955 8429 8430 XOR 2 1 8428 8430 8431 XOR 2 1 8418 8431 8432 XOR 2 1 8402 8432 8433 XOR 2 1 8008 8032 8434 AND 2 1 7967 8291 8435 XOR 2 1 8135 8435 8436 XOR 2 1 8388 8436 8437 XOR 2 1 7980 8393 8438 XOR 2 1 8406 8438 8439 XOR 2 1 8437 8439 8440 XOR 2 1 8434 8440 8441 XOR 2 1 8022 8441 8442 AND 2 1 8008 8442 8443 XOR 2 1 8040 8075 8444 AND 2 1 7712 7818 8445 XOR 2 1 8364 8445 8446 XOR 2 1 7911 8446 8447 XOR 2 1 8406 8447 8448 XOR 2 1 7800 7969 8449 XOR 2 1 8448 8449 8450 XOR 2 1 8444 8450 8451 XOR 2 1 8063 8451 8452 AND 2 1 8040 8452 8453 XOR 2 1 8083 8100 8454 AND 2 1 8293 8385 8455 XOR 2 1 8297 8391 8456 XOR 2 1 8344 8445 8457 XOR 2 1 8290 8457 8458 XOR 2 1 8456 8458 8459 XOR 2 1 8455 8459 8460 XOR 2 1 8454 8460 8461 XOR 2 1 8092 8461 8462 AND 2 1 8083 8462 8463 XOR 2 1 8453 8463 8464 XOR 2 1 8105 8119 8465 AND 2 1 8301 8458 8466 XOR 2 1 7964 8436 8467 XOR 2 1 8466 8467 8468 XOR 2 1 8465 8468 8469 XOR 2 1 8114 8469 8470 AND 2 1 8105 8470 8471 XOR 2 1 8127 8155 8472 AND 2 1 8199 8320 8473 XOR 2 1 8346 8473 8474 XOR 2 1 7734 8474 8475 XOR 2 1 8472 8475 8476 XOR 2 1 8146 8476 8477 AND 2 1 8127 8477 8478 XOR 2 1 8471 8478 8479 XOR 2 1 8464 8479 8480 XOR 2 1 8443 8480 8481 XOR 2 1 8433 8481 8482 XOR 2 1 8257 8395 8483 XOR 2 1 7921 8483 8484 XOR 2 1 8447 8484 8485 XOR 2 1 7963 8485 8486 XOR 2 1 8456 8486 8487 XOR 2 1 8307 8487 8488 AND 2 1 8310 8488 8489 XOR 2 1 8315 8489 8490 AND 2 1 8490 8487 8491 XOR 2 1 8156 8476 8492 AND 2 1 8146 8492 8493 XOR 2 1 7937 8069 8494 XOR 2 1 7856 8494 8495 XOR 2 1 8407 8422 8496 XOR 2 1 8206 8496 8497 XOR 2 1 8495 8497 8498 AND 2 1 7620 7881 8499 XOR 2 1 8190 8499 8500 XOR 2 1 8498 8500 8501 XOR 2 1 8495 8500 8502 AND 2 1 7924 8049 8503 XOR 2 1 7835 8503 8504 XOR 2 1 8502 8504 8505 XOR 2 1 8501 8505 8506 AND 2 1 8506 8497 8507 XOR 2 1 8493 8507 8508 XOR 2 1 7563 8351 8509 XOR 2 1 7938 8509 8510 XOR 2 1 7961 8178 8511 XOR 2 1 7788 8511 8512 XOR 2 1 8422 8512 8513 XOR 2 1 7972 8383 8514 XOR 2 1 7818 8514 8515 XOR 2 1 8410 8515 8516 XOR 2 1 8391 8516 8517 XOR 2 1 8513 8517 8518 XOR 2 1 8510 8518 8519 AND 2 1 7881 8329 8520 XOR 2 1 6726 7991 8521 XOR 2 1 7811 8521 8522 XOR 2 1 7654 8522 8523 XOR 2 1 7158 8523 8524 XOR 2 1 8520 8524 8525 XOR 2 1 8519 8525 8526 XOR 2 1 8510 8525 8527 AND 2 1 7522 8343 8528 XOR 2 1 7925 8528 8529 XOR 2 1 8527 8529 8530 XOR 2 1 8526 8530 8531 AND 2 1 8531 8518 8532 XOR 2 1 8116 8271 8533 XOR 2 1 7994 8533 8534 XOR 2 1 8185 8420 8535 XOR 2 1 8240 8535 8536 XOR 1 1 8536 8537 INV 2 1 8534 8537 8538 AND 2 1 7867 8165 8539 XOR 2 1 8230 8539 8540 XOR 2 1 8538 8540 8541 XOR 2 1 8534 8540 8542 AND 2 1 8106 8366 8543 XOR 2 1 7975 8543 8544 XOR 2 1 8542 8544 8545 XOR 1 1 8545 8546 INV 2 1 8541 8546 8547 AND 2 1 8547 8537 8548 XOR 2 1 8532 8548 8549 XOR 2 1 8508 8549 8550 XOR 2 1 8491 8550 8551 XOR 2 1 7912 8404 8552 XOR 2 1 8108 8552 8553 XOR 2 1 8484 8553 8554 XOR 2 1 8132 8554 8555 XOR 2 1 8394 8555 8556 XOR 2 1 8168 8556 8557 AND 2 1 8176 8557 8558 XOR 2 1 8189 8558 8559 AND 2 1 8559 8556 8560 XOR 2 1 7892 8333 8561 XOR 2 1 8408 8561 8562 XOR 2 1 8192 8562 8563 AND 2 1 8195 8563 8564 XOR 2 1 8212 8564 8565 AND 2 1 8565 8562 8566 XOR 2 1 7958 8448 8567 XOR 2 1 8298 8567 8568 XOR 2 1 8218 8568 8569 AND 2 1 8220 8569 8570 XOR 2 1 8228 8570 8571 AND 2 1 8571 8568 8572 XOR 2 1 8566 8572 8573 XOR 2 1 7969 8410 8574 XOR 2 1 8393 8574 8575 XOR 2 1 8423 8575 8576 XOR 2 1 8232 8576 8577 AND 2 1 8235 8577 8578 XOR 2 1 8243 8578 8579 AND 2 1 8579 8576 8580 XOR 2 1 8283 8466 8581 XOR 2 1 8321 8581 8582 XOR 2 1 8248 8582 8583 AND 2 1 8252 8583 8584 XOR 2 1 8262 8584 8585 AND 2 1 8585 8582 8586 XOR 2 1 8580 8586 8587 XOR 2 1 8573 8587 8588 XOR 2 1 8560 8588 8589 XOR 2 1 8551 8589 8590 XOR 2 1 8342 8354 8591 AND 2 1 7893 8553 8592 XOR 2 1 8574 8592 8593 XOR 2 1 8591 8593 8594 XOR 2 1 8349 8594 8595 AND 2 1 8342 8595 8596 XOR 2 1 8471 8596 8597 XOR 2 1 8360 8374 8598 AND 2 1 8280 8346 8599 XOR 2 1 8283 8512 8600 XOR 2 1 8293 8600 8601 XOR 2 1 8599 8601 8602 XOR 2 1 8598 8602 8603 XOR 2 1 8369 8603 8604 AND 2 1 8360 8604 8605 XOR 2 1 8443 8605 8606 XOR 2 1 8597 8606 8607 XOR 2 1 8415 8607 8608 XOR 2 1 8590 8608 8609 XOR 2 1 8482 8609 8610 XOR 2 1 2970 8610 8611 XOR 2 1 8381 8611 8612 AND 2 1 8304 8413 8613 XOR 2 1 8324 8426 8614 XOR 2 1 8613 8614 8615 XOR 2 1 8400 8615 8616 XOR 2 1 8451 8461 8617 XOR 2 1 8469 8476 8618 XOR 2 1 8617 8618 8619 XOR 2 1 8441 8619 8620 XOR 2 1 8616 8620 8621 XOR 2 1 8156 8501 8622 XOR 2 1 8526 8541 8623 XOR 2 1 8622 8623 8624 XOR 2 1 8489 8624 8625 XOR 2 1 8564 8570 8626 XOR 2 1 8578 8584 8627 XOR 2 1 8626 8627 8628 XOR 2 1 8558 8628 8629 XOR 2 1 8625 8629 8630 XOR 2 1 8469 8594 8631 XOR 2 1 8441 8603 8632 XOR 2 1 8631 8632 8633 XOR 2 1 8413 8633 8634 XOR 2 1 8630 8634 8635 XOR 2 1 8621 8635 8636 XOR 2 1 2536 8636 8637 XOR 2 1 8612 8637 8638 XOR 2 1 8148 8522 8639 XOR 2 1 8327 8639 8640 XOR 2 1 7329 8237 8641 XOR 2 1 8045 8641 8642 XOR 2 1 8318 8642 8643 XOR 2 1 8254 8643 8644 XOR 2 1 8640 8644 8645 AND 2 1 7547 7946 8646 XOR 2 1 7816 8646 8647 XOR 2 1 7945 8647 8648 XOR 2 1 8244 8648 8649 XOR 2 1 8645 8649 8650 XOR 2 1 8541 8650 8651 XOR 2 1 8004 8522 8652 XOR 2 1 8037 8371 8653 XOR 2 1 8652 8653 8654 XOR 2 1 8013 8642 8655 XOR 2 1 8048 8281 8656 XOR 2 1 8655 8656 8657 XOR 2 1 8654 8657 8658 AND 2 1 8026 8647 8659 XOR 2 1 8068 8266 8660 XOR 2 1 8659 8660 8661 XOR 2 1 8658 8661 8662 XOR 2 1 6863 8520 8663 XOR 2 1 8352 8663 8664 XOR 2 1 7892 8144 8665 XOR 2 1 7914 8259 8666 XOR 2 1 7345 8666 8667 XOR 2 1 8665 8667 8668 XOR 2 1 8664 8668 8669 AND 2 1 7930 8153 8670 XOR 2 1 7934 8246 8671 XOR 2 1 7550 8671 8672 XOR 2 1 8670 8672 8673 XOR 2 1 8669 8673 8674 XOR 2 1 8662 8674 8675 XOR 2 1 8651 8675 8676 XOR 2 1 8076 8676 8677 XOR 2 1 8378 8677 8678 XOR 2 1 7763 8647 8679 XOR 2 1 7559 8679 8680 XOR 2 1 8671 8680 8681 XOR 2 1 7780 8652 8682 XOR 2 1 8358 8682 8683 XOR 2 1 8681 8683 8684 AND 2 1 7744 8642 8685 XOR 2 1 7479 8685 8686 XOR 2 1 8666 8686 8687 XOR 2 1 8684 8687 8688 XOR 2 1 8287 8688 8689 XOR 2 1 8614 8689 8690 XOR 2 1 8212 8690 8691 XOR 2 1 8530 8603 8692 XOR 2 1 8618 8692 8693 XOR 2 1 8304 8693 8694 XOR 2 1 8691 8694 8695 XOR 2 1 7851 8027 8696 XOR 2 1 8272 8696 8697 XOR 2 1 8199 8389 8698 XOR 2 1 8186 8698 8699 XOR 2 1 8697 8699 8700 AND 2 1 7036 8122 8701 XOR 2 1 8166 8701 8702 XOR 2 1 8700 8702 8703 XOR 2 1 8662 8703 8704 XOR 2 1 8102 8704 8705 XOR 2 1 8355 8705 8706 XOR 2 1 8695 8706 8707 XOR 2 1 8678 8707 8708 XOR 2 1 3097 8708 8709 XOR 2 1 8537 8546 8710 AND 2 1 8534 8710 8711 XOR 2 1 8640 8649 8712 AND 2 1 8397 8458 8713 XOR 2 1 8133 8515 8714 XOR 2 1 8713 8714 8715 XOR 2 1 8712 8715 8716 XOR 2 1 8644 8716 8717 AND 2 1 8640 8717 8718 XOR 2 1 8711 8718 8719 XOR 2 1 8654 8661 8720 AND 2 1 8436 8515 8721 XOR 2 1 8361 8447 8722 XOR 2 1 8721 8722 8723 XOR 2 1 8720 8723 8724 XOR 2 1 8657 8724 8725 AND 2 1 8654 8725 8726 XOR 2 1 8664 8673 8727 AND 2 1 8385 8513 8728 XOR 2 1 8347 8728 8729 XOR 2 1 8727 8729 8730 XOR 2 1 8668 8730 8731 AND 2 1 8664 8731 8732 XOR 2 1 8726 8732 8733 XOR 2 1 8719 8733 8734 XOR 2 1 8453 8734 8735 XOR 2 1 8608 8735 8736 XOR 2 1 8674 8730 8737 AND 2 1 8668 8737 8738 XOR 2 1 8580 8738 8739 XOR 2 1 7855 8659 8740 XOR 2 1 8372 8740 8741 XOR 2 1 8205 8437 8742 XOR 2 1 8284 8742 8743 XOR 2 1 8741 8743 8744 AND 2 1 7776 8005 8745 XOR 2 1 8267 8745 8746 XOR 2 1 8744 8746 8747 XOR 2 1 8741 8746 8748 AND 2 1 7834 8655 8749 XOR 2 1 8367 8749 8750 XOR 2 1 8748 8750 8751 XOR 2 1 8747 8751 8752 AND 2 1 8752 8743 8753 XOR 2 1 8560 8753 8754 XOR 2 1 8739 8754 8755 XOR 2 1 8493 8755 8756 XOR 2 1 7941 8426 8757 AND 2 1 7927 8757 8758 XOR 2 1 7999 8324 8759 AND 2 1 7982 8759 8760 XOR 2 1 8758 8760 8761 XOR 2 1 8366 8553 8762 XOR 2 1 7791 8762 8763 XOR 2 1 8438 8763 8764 XOR 2 1 8269 8764 8765 AND 2 1 8274 8765 8766 XOR 2 1 8287 8766 8767 AND 2 1 8767 8764 8768 XOR 2 1 8297 8721 8769 XOR 2 1 8600 8769 8770 XOR 2 1 8681 8770 8771 AND 2 1 8683 8771 8772 XOR 2 1 8688 8772 8773 AND 2 1 8773 8770 8774 XOR 2 1 8768 8774 8775 XOR 2 1 8761 8775 8776 XOR 2 1 8566 8776 8777 XOR 2 1 8756 8777 8778 XOR 2 1 8697 8702 8779 AND 2 1 7821 8014 8780 XOR 2 1 8762 8780 8781 XOR 2 1 8779 8781 8782 XOR 2 1 8699 8782 8783 AND 2 1 8697 8783 8784 XOR 2 1 8726 8784 8785 XOR 2 1 8464 8785 8786 XOR 2 1 8596 8786 8787 XOR 2 1 8778 8787 8788 XOR 2 1 8736 8788 8789 XOR 2 1 3219 8789 8790 XOR 2 1 8709 8790 8791 AND 2 1 8716 8546 8792 XOR 2 1 8724 8730 8793 XOR 2 1 8792 8793 8794 XOR 2 1 8451 8794 8795 XOR 2 1 8634 8795 8796 XOR 2 1 8578 8674 8797 XOR 2 1 8558 8747 8798 XOR 2 1 8797 8798 8799 XOR 2 1 8156 8799 8800 XOR 2 1 8766 8772 8801 XOR 2 1 8000 8801 8802 XOR 2 1 8564 8802 8803 XOR 2 1 8800 8803 8804 XOR 2 1 8724 8782 8805 XOR 2 1 8617 8805 8806 XOR 2 1 8594 8806 8807 XOR 2 1 8804 8807 8808 XOR 2 1 8796 8808 8809 XOR 2 1 3103 8809 8810 XOR 2 1 8791 8810 8811 XOR 2 1 8375 8526 8812 XOR 2 1 8157 8812 8813 XOR 2 1 7862 8813 8814 XOR 2 1 8564 8650 8815 XOR 2 1 8797 8815 8816 XOR 2 1 8101 8816 8817 XOR 2 1 8814 8817 8818 XOR 2 1 8594 8688 8819 XOR 2 1 8337 8819 8820 XOR 2 1 8228 8820 8821 XOR 2 1 8476 8505 8822 XOR 2 1 8530 8546 8823 XOR 2 1 8822 8823 8824 XOR 2 1 8315 8824 8825 XOR 2 1 8821 8825 8826 XOR 2 1 8101 8558 8827 XOR 2 1 8675 8827 8828 XOR 2 1 8120 8828 8829 XOR 2 1 8826 8829 8830 XOR 2 1 8818 8830 8831 XOR 2 1 2662 8831 8832 XOR 2 1 8518 8530 8833 AND 2 1 8510 8833 8834 XOR 2 1 8605 8834 8835 XOR 2 1 8479 8835 8836 XOR 2 1 8417 8836 8837 XOR 2 1 8212 8562 8838 AND 2 1 8192 8838 8839 XOR 2 1 8718 8839 8840 XOR 2 1 8243 8576 8841 AND 2 1 8232 8841 8842 XOR 2 1 8732 8842 8843 XOR 2 1 8840 8843 8844 XOR 2 1 8463 8844 8845 XOR 2 1 8837 8845 8846 XOR 2 1 8171 8670 8847 XOR 2 1 8523 8847 8848 XOR 2 1 8224 8599 8849 XOR 2 1 8685 8849 8850 XOR 2 1 8848 8850 8851 AND 2 1 8215 8357 8852 XOR 2 1 8679 8852 8853 XOR 2 1 8851 8853 8854 XOR 2 1 8848 8853 8855 AND 2 1 8484 8665 8856 XOR 2 1 8516 8856 8857 XOR 2 1 8855 8857 8858 XOR 2 1 8854 8858 8859 AND 2 1 8859 8850 8860 XOR 2 1 8753 8860 8861 XOR 2 1 8587 8861 8862 XOR 2 1 8507 8862 8863 XOR 2 1 8512 8713 8864 XOR 2 1 8473 8864 8865 XOR 2 1 8326 8865 8866 AND 2 1 8331 8866 8867 XOR 2 1 8336 8867 8868 AND 2 1 8868 8865 8869 XOR 2 1 8760 8869 8870 XOR 2 1 8355 8594 8871 AND 2 1 8349 8871 8872 XOR 2 1 8774 8872 8873 XOR 2 1 8870 8873 8874 XOR 2 1 8572 8874 8875 XOR 2 1 8863 8875 8876 XOR 2 1 8189 8556 8877 AND 2 1 8168 8877 8878 XOR 2 1 8463 8878 8879 XOR 2 1 8733 8879 8880 XOR 2 1 8471 8880 8881 XOR 2 1 8876 8881 8882 XOR 2 1 8846 8882 8883 XOR 2 1 2666 8883 8884 XOR 2 1 8832 8884 8885 AND 2 1 8212 8716 8886 XOR 2 1 8243 8730 8887 XOR 2 1 8886 8887 8888 XOR 2 1 8461 8888 8889 XOR 2 1 8694 8889 8890 XOR 2 1 8747 8854 8891 XOR 2 1 8627 8891 8892 XOR 2 1 8501 8892 8893 XOR 2 1 7999 8867 8894 XOR 2 1 8355 8772 8895 XOR 2 1 8894 8895 8896 XOR 2 1 8570 8896 8897 XOR 2 1 8893 8897 8898 XOR 2 1 8189 8461 8899 XOR 2 1 8793 8899 8900 XOR 2 1 8469 8900 8901 XOR 2 1 8898 8901 8902 XOR 2 1 8890 8902 8903 XOR 2 1 2677 8903 8904 XOR 2 1 8885 8904 8905 XOR 2 1 8811 8905 8906 XOR 2 1 7862 8489 8907 XOR 2 1 8376 8907 8908 XOR 2 1 7941 8908 8909 XOR 2 1 8829 8909 8910 XOR 2 1 7555 8150 8911 XOR 2 1 8174 8911 8912 XOR 2 1 7950 8077 8913 XOR 2 1 8305 8913 8914 XOR 2 1 8912 8914 8915 AND 2 1 7399 8138 8916 XOR 2 1 8554 8916 8917 XOR 2 1 8915 8917 8918 XOR 2 1 8228 8918 8919 XOR 2 1 8689 8919 8920 XOR 2 1 8243 8920 8921 XOR 2 1 8315 8782 8922 XOR 2 1 8692 8922 8923 XOR 2 1 8324 8923 8924 XOR 2 1 8921 8924 8925 XOR 2 1 8159 8925 8926 XOR 2 1 8910 8926 8927 XOR 2 1 3373 8927 8928 XOR 2 1 8315 8487 8929 AND 2 1 8307 8929 8930 XOR 2 1 8417 8930 8931 XOR 2 1 8606 8931 8932 XOR 2 1 8428 8932 8933 XOR 2 1 8881 8933 8934 XOR 2 1 7993 8094 8935 XOR 2 1 8308 8935 8936 XOR 2 1 8239 8294 8937 XOR 2 1 8225 8937 8938 XOR 2 1 8936 8938 8939 AND 2 1 7773 7948 8940 XOR 2 1 8216 8940 8941 XOR 2 1 8939 8941 8942 XOR 2 1 8936 8941 8943 AND 2 1 7974 8084 8944 XOR 2 1 8485 8944 8945 XOR 2 1 8943 8945 8946 XOR 2 1 8942 8946 8947 AND 2 1 8947 8938 8948 XOR 2 1 8507 8948 8949 XOR 2 1 8754 8949 8950 XOR 2 1 8532 8950 8951 XOR 2 1 8320 8455 8952 XOR 2 1 8312 8952 8953 XOR 2 1 8912 8953 8954 AND 2 1 8914 8954 8955 XOR 2 1 8918 8955 8956 AND 2 1 8956 8953 8957 XOR 2 1 8572 8957 8958 XOR 2 1 8775 8958 8959 XOR 2 1 8580 8959 8960 XOR 2 1 8951 8960 8961 XOR 2 1 8481 8961 8962 XOR 2 1 8934 8962 8963 XOR 2 1 3430 8963 8964 XOR 2 1 8928 8964 8965 AND 2 1 8316 8632 8966 XOR 2 1 8426 8966 8967 XOR 2 1 8901 8967 8968 XOR 2 1 8501 8942 8969 XOR 2 1 8798 8969 8970 XOR 2 1 8526 8970 8971 XOR 2 1 8570 8955 8972 XOR 2 1 8801 8972 8973 XOR 2 1 8578 8973 8974 XOR 2 1 8971 8974 8975 XOR 2 1 8620 8975 8976 XOR 2 1 8968 8976 8977 XOR 2 1 3378 8977 8978 XOR 2 1 8965 8978 8979 XOR 2 1 8489 8703 8980 XOR 2 1 8812 8980 8981 XOR 2 1 7999 8981 8982 XOR 2 1 8800 8982 8983 XOR 2 1 8441 8918 8984 XOR 2 1 8819 8984 8985 XOR 2 1 8262 8985 8986 XOR 2 1 8805 8823 8987 XOR 2 1 8336 8987 8988 XOR 2 1 8986 8988 8989 XOR 2 1 8622 8827 8990 XOR 2 1 8375 8990 8991 XOR 2 1 8989 8991 8992 XOR 2 1 8983 8992 8993 XOR 2 1 2217 8993 8994 XOR 2 1 8784 8930 8995 XOR 2 1 8835 8995 8996 XOR 2 1 8430 8996 8997 XOR 2 1 8743 8751 8998 AND 2 1 8741 8998 8999 XOR 2 1 8878 8999 9000 XOR 2 1 8843 9000 9001 XOR 2 1 8478 9001 9002 XOR 2 1 8997 9002 9003 XOR 2 1 7571 8400 9004 AND 2 1 7545 9004 9005 XOR 2 1 8948 9005 9006 XOR 2 1 8861 9006 9007 XOR 2 1 8548 9007 9008 XOR 2 1 8033 8441 9009 AND 2 1 8022 9009 9010 XOR 2 1 8957 9010 9011 XOR 2 1 8873 9011 9012 XOR 2 1 8586 9012 9013 XOR 2 1 9008 9013 9014 XOR 2 1 8497 8505 9015 AND 2 1 8495 9015 9016 XOR 2 1 8478 9016 9017 XOR 2 1 8879 9017 9018 XOR 2 1 8605 9018 9019 XOR 2 1 9014 9019 9020 XOR 2 1 9003 9020 9021 XOR 2 1 2225 9021 9022 XOR 2 1 8994 9022 9023 AND 2 1 8189 8751 9024 XOR 2 1 8887 9024 9025 XOR 2 1 8476 9025 9026 XOR 2 1 8924 9026 9027 XOR 2 1 7571 8942 9028 XOR 2 1 8891 9028 9029 XOR 2 1 8541 9029 9030 XOR 2 1 8033 8955 9031 XOR 2 1 8895 9031 9032 XOR 2 1 8584 9032 9033 XOR 2 1 9030 9033 9034 XOR 2 1 8822 8899 9035 XOR 2 1 8603 9035 9036 XOR 2 1 9034 9036 9037 XOR 2 1 9027 9037 9038 XOR 2 1 2243 9038 9039 XOR 2 1 9023 9039 9040 XOR 2 1 8979 9040 9041 XOR 2 1 8906 9041 9042 XOR 2 1 8638 9042 9043 XOR 2 1 8815 9028 9044 XOR 2 1 8662 9044 9045 XOR 2 1 8159 9045 9046 XOR 2 1 8339 9036 9047 XOR 2 1 8677 9047 9048 XOR 2 1 9046 9048 9049 XOR 2 1 2638 9049 9050 XOR 2 1 8938 8946 9051 AND 2 1 8936 9051 9052 XOR 2 1 8402 9052 9053 XOR 2 1 8840 9053 9054 XOR 2 1 8726 9054 9055 XOR 2 1 8481 9055 9056 XOR 2 1 7862 8304 9057 AND 2 1 7842 9057 9058 XOR 2 1 8491 9058 9059 XOR 2 1 8870 9059 9060 XOR 2 1 8768 9060 9061 XOR 2 1 8589 9061 9062 XOR 2 1 8735 9062 9063 XOR 2 1 9056 9063 9064 XOR 2 1 3325 9064 9065 XOR 2 1 9050 9065 9066 AND 2 1 8400 8946 9067 XOR 2 1 8886 9067 9068 XOR 2 1 8724 9068 9069 XOR 2 1 8620 9069 9070 XOR 2 1 8894 8907 9071 XOR 2 1 8766 9071 9072 XOR 2 1 8629 9072 9073 XOR 2 1 8795 9073 9074 XOR 2 1 9070 9074 9075 XOR 2 1 2643 9075 9076 XOR 2 1 9066 9076 9077 XOR 2 1 7766 8584 9078 XOR 2 1 7941 8854 9079 XOR 2 1 9078 9079 9080 XOR 2 1 8942 9080 9081 XOR 2 1 8677 9081 9082 XOR 2 1 8890 9030 9083 XOR 2 1 9082 9083 9084 XOR 2 1 2873 9084 9085 XOR 2 1 8262 8582 9086 AND 2 1 8248 9086 9087 XOR 2 1 8415 9087 9088 XOR 2 1 8850 8858 9089 AND 2 1 8848 9089 9090 XOR 2 1 8428 9090 9091 XOR 2 1 9088 9091 9092 XOR 2 1 9052 9092 9093 XOR 2 1 8735 9093 9094 XOR 2 1 8120 8469 9095 AND 2 1 8114 9095 9096 XOR 2 1 8493 9096 9097 XOR 2 1 8375 8603 9098 AND 2 1 8369 9098 9099 XOR 2 1 8532 9099 9100 XOR 2 1 9097 9100 9101 XOR 2 1 9058 9101 9102 XOR 2 1 8777 9102 9103 XOR 2 1 8999 9090 9104 XOR 2 1 9053 9104 9105 XOR 2 1 8711 9105 9106 XOR 2 1 9103 9106 9107 XOR 2 1 9094 9107 9108 XOR 2 1 2877 9108 9109 XOR 2 1 9085 9109 9110 AND 2 1 8262 8413 9111 XOR 2 1 8426 8858 9112 XOR 2 1 9111 9112 9113 XOR 2 1 8946 9113 9114 XOR 2 1 8795 9114 9115 XOR 2 1 8803 8814 9116 XOR 2 1 8751 8858 9117 XOR 2 1 9067 9117 9118 XOR 2 1 9118 8546 9119 XOR 2 1 9116 9119 9120 XOR 2 1 9115 9120 9121 XOR 2 1 2889 9121 9122 XOR 2 1 9110 9122 9123 XOR 2 1 8002 8817 9124 XOR 2 1 8265 8825 9125 XOR 2 1 7571 8766 9126 XOR 2 1 9079 9126 9127 XOR 2 1 8650 9127 9128 XOR 2 1 9125 9128 9129 XOR 2 1 9124 9129 9130 XOR 2 1 2907 9130 9131 XOR 2 1 8433 8845 9132 XOR 2 1 8551 8875 9133 XOR 2 1 8287 8764 9134 AND 2 1 8269 9134 9135 XOR 2 1 8402 9135 9136 XOR 2 1 9091 9136 9137 XOR 2 1 8718 9137 9138 XOR 2 1 9133 9138 9139 XOR 2 1 9132 9139 9140 XOR 2 1 2911 9140 9141 XOR 2 1 9131 9141 9142 AND 2 1 8616 8889 9143 XOR 2 1 8625 8897 9144 XOR 2 1 8287 8400 9145 XOR 2 1 9112 9145 9146 XOR 2 1 8716 9146 9147 XOR 2 1 9144 9147 9148 XOR 2 1 9143 9148 9149 XOR 2 1 2917 9149 9150 XOR 2 1 9142 9150 9151 XOR 2 1 9123 9151 9152 XOR 2 1 8829 9128 9153 XOR 2 1 9027 9045 9154 XOR 2 1 9153 9154 9155 XOR 2 1 3122 9155 9156 XOR 2 1 8881 9138 9157 XOR 2 1 8703 8782 9158 AND 2 1 8699 9158 9159 XOR 2 1 8491 9159 9160 XOR 2 1 9100 9160 9161 XOR 2 1 8760 9161 9162 XOR 2 1 8960 9162 9163 XOR 2 1 9055 9163 9164 XOR 2 1 9157 9164 9165 XOR 2 1 3126 9165 9166 XOR 2 1 9156 9166 9167 AND 2 1 8901 9147 9168 XOR 2 1 8974 8982 9169 XOR 2 1 9069 9169 9170 XOR 2 1 9168 9170 9171 XOR 2 1 3132 9171 9172 XOR 2 1 9167 9172 9173 XOR 2 1 8263 9117 9174 XOR 2 1 8505 9174 9175 XOR 2 1 8988 9175 9176 XOR 2 1 8626 9126 9177 XOR 2 1 8674 9177 9178 XOR 2 1 9176 9178 9179 XOR 2 1 8804 9179 9180 XOR 2 1 3054 9180 9181 XOR 2 1 8688 8770 9182 AND 2 1 8681 9182 9183 XOR 2 1 9135 9183 9184 XOR 2 1 8431 9184 9185 XOR 2 1 8839 9185 9186 XOR 2 1 9002 9186 9187 XOR 2 1 8662 8724 9188 AND 2 1 8657 9188 9189 XOR 2 1 9159 9189 9190 XOR 2 1 8549 9190 9191 XOR 2 1 8869 9191 9192 XOR 2 1 9013 9192 9193 XOR 2 1 8228 8568 9194 AND 2 1 8218 9194 9195 XOR 2 1 8839 9195 9196 XOR 2 1 9136 9196 9197 XOR 2 1 8732 9197 9198 XOR 2 1 9193 9198 9199 XOR 2 1 9187 9199 9200 XOR 2 1 3059 9200 9201 XOR 2 1 9181 9201 9202 AND 2 1 8691 9026 9203 XOR 2 1 8623 8704 9204 XOR 2 1 8867 9204 9205 XOR 2 1 9033 9205 9206 XOR 2 1 8229 9145 9207 XOR 2 1 8730 9207 9208 XOR 2 1 9206 9208 9209 XOR 2 1 9203 9209 9210 XOR 2 1 3066 9210 9211 XOR 2 1 9202 9211 9212 XOR 2 1 9173 9212 9213 XOR 2 1 9152 9213 9214 XOR 2 1 9077 9214 9215 XOR 2 1 9043 9215 9216 XOR 2 1 8505 8946 9217 XOR 2 1 8792 9217 9218 XOR 2 1 8782 9218 9219 XOR 2 1 8919 9111 9220 XOR 2 1 8751 9220 9221 XOR 2 1 9219 9221 9222 XOR 2 1 8803 9222 9223 XOR 2 1 9073 9223 9224 XOR 2 1 3033 9224 9225 XOR 2 1 8076 8867 9226 XOR 2 1 8356 9226 9227 XOR 2 1 8955 9227 9228 XOR 2 1 8651 8969 9229 XOR 2 1 8703 9229 9230 XOR 2 1 9228 9230 9231 XOR 2 1 8691 9231 9232 XOR 2 1 8340 9232 9233 XOR 2 1 3045 9233 9234 XOR 2 1 9225 9234 9235 AND 2 1 9016 9052 9236 XOR 2 1 8719 9236 9237 XOR 2 1 8784 9237 9238 XOR 2 1 8918 8953 9239 AND 2 1 8912 9239 9240 XOR 2 1 9195 9240 9241 XOR 2 1 9088 9241 9242 XOR 2 1 8999 9242 9243 XOR 2 1 9238 9243 9244 XOR 2 1 8777 9244 9245 XOR 2 1 9062 9245 9246 XOR 2 1 3145 9246 9247 XOR 2 1 9235 9247 9248 XOR 2 1 8821 9175 9249 XOR 2 1 8909 9249 9250 XOR 2 1 9116 9250 9251 XOR 2 1 3299 9251 9252 XOR 2 1 8893 9205 9253 XOR 2 1 8967 9253 9254 XOR 2 1 8695 9254 9255 XOR 2 1 3309 9255 9256 XOR 2 1 9252 9256 9257 AND 2 1 8842 9087 9258 XOR 2 1 9104 9258 9259 XOR 2 1 9016 9259 9260 XOR 2 1 8336 8865 9261 AND 2 1 8326 9261 9262 XOR 2 1 8430 9262 9263 XOR 2 1 8596 9183 9264 XOR 2 1 9263 9264 9265 XOR 2 1 9195 9265 9266 XOR 2 1 9260 9266 9267 XOR 2 1 9010 9099 9268 XOR 2 1 9059 9268 9269 XOR 2 1 8758 9269 9270 XOR 2 1 9267 9270 9271 XOR 2 1 9103 9271 9272 XOR 2 1 3315 9272 9273 XOR 2 1 9257 9273 9274 XOR 2 1 8336 8451 9275 XOR 2 1 8631 9275 9276 XOR 2 1 8918 9276 9277 XOR 2 1 9114 9277 9278 XOR 2 1 8982 9278 9279 XOR 2 1 9144 9279 9280 XOR 2 1 2070 9280 9281 XOR 2 1 8924 9082 9282 XOR 2 1 8826 9282 9283 XOR 2 1 2132 9283 9284 XOR 2 1 9281 9284 9285 AND 2 1 8453 9262 9286 XOR 2 1 8597 9286 9287 XOR 2 1 9240 9287 9288 XOR 2 1 9093 9288 9289 XOR 2 1 9162 9289 9290 XOR 2 1 9133 9290 9291 XOR 2 1 2759 9291 9292 XOR 2 1 9285 9292 9293 XOR 2 1 9274 9293 9294 XOR 2 1 8986 9119 9295 XOR 2 1 9072 9295 9296 XOR 2 1 9169 9296 9297 XOR 2 1 391 9297 9298 XOR 2 1 8706 9030 9299 XOR 2 1 8339 9299 9300 XOR 2 1 8925 9300 9301 XOR 2 1 1764 9301 9302 XOR 2 1 9298 9302 9303 AND 2 1 8443 9240 9304 XOR 2 1 9264 9304 9305 XOR 2 1 9087 9305 9306 XOR 2 1 9106 9306 9307 XOR 2 1 9061 9307 9308 XOR 2 1 9163 9308 9309 XOR 2 1 2718 9309 9310 XOR 2 1 9303 9310 9311 XOR 2 1 8634 9147 9312 XOR 2 1 8980 9226 9313 XOR 2 1 8772 9313 9314 XOR 2 1 9312 9314 9315 XOR 2 1 9206 9315 9316 XOR 2 1 2558 9316 9317 XOR 2 1 8922 9275 9318 XOR 2 1 8688 9318 9319 XOR 2 1 9153 9319 9320 XOR 2 1 8989 9320 9321 XOR 2 1 2565 9321 9322 XOR 2 1 9317 9322 9323 AND 2 1 8608 9138 9324 XOR 2 1 8076 8451 9325 AND 2 1 8063 9325 9326 XOR 2 1 8869 9326 9327 XOR 2 1 9160 9327 9328 XOR 2 1 8774 9328 9329 XOR 2 1 9324 9329 9330 XOR 2 1 9193 9330 9331 XOR 2 1 2573 9331 9332 XOR 2 1 9323 9332 9333 XOR 2 1 9311 9333 9334 XOR 2 1 9294 9334 9335 XOR 2 1 9248 9335 9336 XOR 2 1 8991 9072 9337 XOR 2 1 9221 9319 9338 XOR 2 1 8814 9338 9339 XOR 2 1 9337 9339 9340 XOR 2 1 1792 9340 9341 XOR 2 1 8972 9078 9342 XOR 2 1 8747 9342 9343 XOR 2 1 9230 9343 9344 XOR 2 1 8694 9344 9345 XOR 2 1 9047 9345 9346 XOR 2 1 1948 9346 9347 XOR 2 1 9341 9347 9348 AND 2 1 8101 8461 9349 AND 2 1 8092 9349 9350 XOR 2 1 8560 9350 9351 XOR 2 1 8508 9351 9352 XOR 2 1 9099 9352 9353 XOR 2 1 9061 9353 9354 XOR 2 1 8995 9286 9355 XOR 2 1 9183 9355 9356 XOR 2 1 9243 9356 9357 XOR 2 1 9102 9357 9358 XOR 2 1 9354 9358 9359 XOR 2 1 2741 9359 9360 XOR 2 1 9348 9360 9361 XOR 2 1 8832 8904 9362 AND 2 1 8650 8716 9363 AND 2 1 8644 9363 9364 XOR 2 1 8566 9364 9365 XOR 2 1 8739 9365 9366 XOR 2 1 9350 9366 9367 XOR 2 1 9102 9367 9368 XOR 2 1 8711 8834 9369 XOR 2 1 9017 9369 9370 XOR 2 1 8930 9370 9371 XOR 2 1 9266 9371 9372 XOR 2 1 8738 9189 9373 XOR 2 1 9351 9373 9374 XOR 2 1 9096 9374 9375 XOR 2 1 9372 9375 9376 XOR 2 1 9368 9376 9377 XOR 2 1 2983 9377 9378 XOR 2 1 9362 9378 9379 XOR 2 1 9219 9277 9380 XOR 2 1 8800 9380 9381 XOR 2 1 8630 9381 9382 XOR 2 1 2341 9382 9383 XOR 2 1 9081 9228 9384 XOR 2 1 9026 9384 9385 XOR 2 1 9125 9385 9386 XOR 2 1 2359 9386 9387 XOR 2 1 9383 9387 9388 AND 2 1 9238 9288 9389 XOR 2 1 8756 9389 9390 XOR 2 1 8590 9390 9391 XOR 2 1 2813 9391 9392 XOR 2 1 9388 9392 9393 XOR 2 1 9379 9393 9394 XOR 2 1 8994 9039 9395 AND 2 1 8756 9162 9396 XOR 2 1 8785 9369 9397 XOR 2 1 9262 9397 9398 XOR 2 1 9306 9398 9399 XOR 2 1 9353 9399 9400 XOR 2 1 9396 9400 9401 XOR 2 1 2776 9401 9402 XOR 2 1 9395 9402 9403 XOR 2 1 8796 8971 9404 XOR 2 1 9253 9404 9405 XOR 2 1 2686 9405 9406 XOR 2 1 8378 9128 9407 XOR 2 1 9024 9217 9408 XOR 2 1 8530 9408 9409 XOR 2 1 9407 9409 9410 XOR 2 1 9176 9410 9411 XOR 2 1 2705 9411 9412 XOR 2 1 9406 9412 9413 AND 2 1 8863 9192 9414 XOR 2 1 8736 8951 9415 XOR 2 1 9414 9415 9416 XOR 2 1 2992 9416 9417 XOR 2 1 9413 9417 9418 XOR 2 1 9403 9418 9419 XOR 2 1 9394 9419 9420 XOR 2 1 9361 9420 9421 XOR 2 1 9336 9421 9422 XOR 2 1 8787 9106 9423 XOR 2 1 8768 9005 9424 XOR 2 1 8573 9424 9425 XOR 2 1 8738 9425 9426 XOR 2 1 9270 9426 9427 XOR 2 1 9238 9427 9428 XOR 2 1 9423 9428 9429 XOR 2 1 2834 9429 9430 XOR 1 1 9430 9431 INV 2 1 8968 9230 9432 XOR 2 1 9299 9432 9433 XOR 2 1 2607 9433 9434 XOR 1 1 9434 9435 INV 2 1 9431 9435 9436 AND 2 1 8807 9119 9437 XOR 2 1 8909 9178 9438 XOR 2 1 9219 9438 9439 XOR 2 1 9437 9439 9440 XOR 2 1 2613 9440 9441 XOR 1 1 9441 9442 INV 2 1 9436 9442 9443 XOR 2 1 9173 9443 9444 XOR 2 1 8991 9178 9445 XOR 2 1 9319 9409 9446 XOR 2 1 8817 9446 9447 XOR 2 1 9445 9447 9448 XOR 2 1 2256 9448 9449 XOR 2 1 9019 9198 9450 XOR 2 1 7766 8413 9451 AND 2 1 7746 9451 9452 XOR 2 1 8586 9452 9453 XOR 2 1 8958 9453 9454 XOR 2 1 8753 9454 9455 XOR 2 1 9329 9455 9456 XOR 2 1 8845 9456 9457 XOR 2 1 9450 9457 9458 XOR 2 1 2265 9458 9459 XOR 2 1 9449 9459 9460 AND 2 1 9036 9208 9461 XOR 2 1 9314 9343 9462 XOR 2 1 8889 9462 9463 XOR 2 1 9461 9463 9464 XOR 2 1 2292 9464 9465 XOR 2 1 9460 9465 9466 XOR 2 1 9077 9466 9467 XOR 2 1 9444 9467 9468 XOR 2 1 8811 9468 9469 XOR 2 1 9422 9469 9470 XOR 2 1 9216 9470 9471 XOR 2 1 8381 8637 9472 AND 2 1 9058 9452 9473 XOR 2 1 8761 9473 9474 XOR 2 1 9005 9474 9475 XOR 2 1 9326 9350 9476 XOR 2 1 9097 9476 9477 XOR 2 1 9010 9477 9478 XOR 2 1 9475 9478 9479 XOR 2 1 9196 9258 9480 XOR 2 1 8878 9480 9481 XOR 2 1 8931 9263 9482 XOR 2 1 9135 9482 9483 XOR 2 1 9481 9483 9484 XOR 2 1 8872 9096 9485 XOR 2 1 9268 9485 9486 XOR 2 1 9452 9486 9487 XOR 2 1 9484 9487 9488 XOR 2 1 9479 9488 9489 XOR 2 1 2543 9489 9490 XOR 2 1 9472 9490 9491 XOR 2 1 8611 9491 9492 AND 2 1 8381 9492 9493 XOR 2 1 8709 8810 9494 AND 2 1 8548 9364 9495 XOR 2 1 9373 9495 9496 XOR 2 1 9326 9496 9497 XOR 2 1 9487 9497 9498 XOR 2 1 8837 9186 9499 XOR 2 1 9190 9476 9500 XOR 2 1 8872 9500 9501 XOR 2 1 9499 9501 9502 XOR 2 1 9498 9502 9503 XOR 2 1 3108 9503 9504 XOR 2 1 9494 9504 9505 XOR 2 1 8790 9505 9506 AND 2 1 8709 9506 9507 XOR 2 1 8884 9379 9508 AND 2 1 8832 9508 9509 XOR 2 1 9507 9509 9510 XOR 2 1 8928 8978 9511 AND 2 1 9270 9375 9512 XOR 2 1 9184 9241 9513 XOR 2 1 8842 9513 9514 XOR 2 1 8997 9514 9515 XOR 2 1 9478 9515 9516 XOR 2 1 9512 9516 9517 XOR 2 1 3383 9517 9518 XOR 2 1 9511 9518 9519 XOR 2 1 8964 9519 9520 AND 2 1 8928 9520 9521 XOR 2 1 9022 9403 9522 AND 2 1 8994 9522 9523 XOR 2 1 9521 9523 9524 XOR 2 1 9510 9524 9525 XOR 2 1 9493 9525 9526 XOR 2 1 9050 9076 9527 AND 2 1 9006 9365 9528 XOR 2 1 9189 9528 9529 XOR 2 1 9478 9529 9530 XOR 2 1 9019 9483 9531 XOR 2 1 9497 9531 9532 XOR 2 1 9530 9532 9533 XOR 2 1 2651 9533 9534 XOR 2 1 9527 9534 9535 XOR 2 1 9065 9535 9536 AND 2 1 9050 9536 9537 XOR 2 1 9085 9122 9538 AND 2 1 8758 8860 9539 XOR 2 1 9453 9539 9540 XOR 2 1 8948 9540 9541 XOR 2 1 9497 9541 9542 XOR 2 1 8846 9008 9543 XOR 2 1 9542 9543 9544 XOR 2 1 2899 9544 9545 XOR 2 1 9538 9545 9546 XOR 2 1 9109 9546 9547 AND 2 1 9085 9547 9548 XOR 2 1 9131 9150 9549 AND 2 1 9367 9475 9550 XOR 2 1 9371 9481 9551 XOR 2 1 9424 9539 9552 XOR 2 1 9364 9552 9553 XOR 2 1 9551 9553 9554 XOR 2 1 9550 9554 9555 XOR 2 1 2923 9555 9556 XOR 2 1 9549 9556 9557 XOR 2 1 9141 9557 9558 AND 2 1 9131 9558 9559 XOR 2 1 9548 9559 9560 XOR 2 1 9156 9172 9561 AND 2 1 9375 9553 9562 XOR 2 1 9003 9529 9563 XOR 2 1 9562 9563 9564 XOR 2 1 3232 9564 9565 XOR 2 1 9561 9565 9566 XOR 2 1 9166 9566 9567 AND 2 1 9156 9567 9568 XOR 2 1 9181 9211 9569 AND 2 1 9260 9398 9570 XOR 2 1 9426 9570 9571 XOR 2 1 8778 9571 9572 XOR 2 1 3155 9572 9573 XOR 2 1 9569 9573 9574 XOR 2 1 9201 9574 9575 AND 2 1 9181 9575 9576 XOR 2 1 9568 9576 9577 XOR 2 1 9560 9577 9578 XOR 2 1 9537 9578 9579 XOR 2 1 9526 9579 9580 XOR 2 1 9327 9485 9581 XOR 2 1 8957 9581 9582 XOR 2 1 9541 9582 9583 XOR 2 1 9002 9583 9584 XOR 2 1 9551 9584 9585 XOR 2 1 2346 9585 9586 XOR 2 1 9383 9586 9587 AND 2 1 9387 9587 9588 XOR 2 1 9393 9588 9589 AND 2 1 9589 9586 9590 XOR 2 1 9212 9574 9591 AND 2 1 9201 9591 9592 XOR 2 1 8974 9115 9593 XOR 2 1 8898 9593 9594 XOR 2 1 3073 9594 9595 XOR 2 1 9498 9514 9596 XOR 2 1 9267 9596 9597 XOR 2 1 3077 9597 9598 XOR 2 1 9595 9598 9599 AND 2 1 8678 8921 9600 XOR 2 1 9249 9600 9601 XOR 2 1 3085 9601 9602 XOR 2 1 9599 9602 9603 XOR 2 1 9595 9602 9604 AND 2 1 8960 9094 9605 XOR 2 1 8876 9605 9606 XOR 2 1 3164 9606 9607 XOR 2 1 9604 9607 9608 XOR 2 1 9603 9608 9609 AND 2 1 9609 9598 9610 XOR 2 1 9592 9610 9611 XOR 2 1 8629 9437 9612 XOR 2 1 8975 9612 9613 XOR 2 1 2307 9613 9614 XOR 2 1 9000 9236 9615 XOR 2 1 8834 9615 9616 XOR 2 1 9514 9616 9617 XOR 2 1 9011 9473 9618 XOR 2 1 8860 9618 9619 XOR 2 1 9501 9619 9620 XOR 2 1 9481 9620 9621 XOR 2 1 9617 9621 9622 XOR 2 1 2316 9622 9623 XOR 2 1 9614 9623 9624 AND 2 1 8921 9409 9625 XOR 2 1 7863 9031 9626 XOR 2 1 8854 9626 9627 XOR 2 1 8706 9627 9628 XOR 2 1 8265 9628 9629 XOR 2 1 9625 9629 9630 XOR 2 1 2329 9630 9631 XOR 2 1 9624 9631 9632 XOR 2 1 9614 9631 9633 AND 2 1 8589 9423 9634 XOR 2 1 8961 9634 9635 XOR 2 1 2800 9635 9636 XOR 2 1 9633 9636 9637 XOR 2 1 9632 9637 9638 AND 2 1 9638 9623 9639 XOR 2 1 9168 9343 9640 XOR 2 1 9034 9640 9641 XOR 2 1 2415 9641 9642 XOR 2 1 9243 9512 9643 XOR 2 1 9307 9643 9644 XOR 2 1 2933 9644 9645 XOR 2 1 9642 9645 9646 AND 2 1 8910 9221 9647 XOR 2 1 9295 9647 9648 XOR 2 1 2426 9648 9649 XOR 2 1 9646 9649 9650 XOR 2 1 9642 9649 9651 AND 2 1 9157 9455 9652 XOR 2 1 9014 9652 9653 XOR 2 1 2437 9653 9654 XOR 2 1 9651 9654 9655 XOR 2 1 9650 9655 9656 AND 2 1 9656 9645 9657 XOR 2 1 9639 9657 9658 XOR 2 1 9611 9658 9659 XOR 2 1 9590 9659 9660 XOR 2 1 8949 9495 9661 XOR 2 1 9159 9661 9662 XOR 2 1 9582 9662 9663 XOR 2 1 9186 9663 9664 XOR 2 1 9484 9664 9665 XOR 2 1 3037 9665 9666 XOR 2 1 9225 9666 9667 AND 2 1 9234 9667 9668 XOR 2 1 9248 9668 9669 AND 2 1 9669 9666 9670 XOR 2 1 8933 9414 9671 XOR 2 1 9499 9671 9672 XOR 2 1 3303 9672 9673 XOR 2 1 9252 9673 9674 AND 2 1 9256 9674 9675 XOR 2 1 9274 9675 9676 AND 2 1 9676 9673 9677 XOR 2 1 8997 9542 9678 XOR 2 1 9372 9678 9679 XOR 2 1 2093 9679 9680 XOR 2 1 9281 9680 9681 AND 2 1 9284 9681 9682 XOR 2 1 9293 9682 9683 AND 2 1 9683 9680 9684 XOR 2 1 9677 9684 9685 XOR 2 1 9008 9501 9686 XOR 2 1 9483 9686 9687 XOR 2 1 9515 9687 9688 XOR 2 1 1533 9688 9689 XOR 2 1 9298 9689 9690 AND 2 1 9302 9690 9691 XOR 2 1 9311 9691 9692 AND 2 1 9692 9689 9693 XOR 2 1 9356 9562 9694 XOR 2 1 9399 9694 9695 XOR 2 1 3210 9695 9696 XOR 2 1 9317 9696 9697 AND 2 1 9322 9697 9698 XOR 2 1 9333 9698 9699 AND 2 1 9699 9696 9700 XOR 2 1 9693 9700 9701 XOR 2 1 9685 9701 9702 XOR 2 1 9670 9702 9703 XOR 2 1 9660 9703 9704 XOR 2 1 9442 9435 9705 AND 2 1 8934 9662 9706 XOR 2 1 9686 9706 9707 XOR 2 1 2620 9707 9708 XOR 2 1 9705 9708 9709 XOR 2 1 9709 9431 9710 AND 2 1 9710 9435 9711 XOR 2 1 9568 9711 9712 XOR 2 1 9449 9465 9713 AND 2 1 9353 9426 9714 XOR 2 1 9356 9616 9715 XOR 2 1 9367 9715 9716 XOR 2 1 9714 9716 9717 XOR 2 1 2788 9717 9718 XOR 2 1 9713 9718 9719 XOR 2 1 9459 9719 9720 AND 2 1 9449 9720 9721 XOR 2 1 9537 9721 9722 XOR 2 1 9712 9722 9723 XOR 2 1 9507 9723 9724 XOR 2 1 9704 9724 9725 XOR 2 1 9580 9725 9726 XOR 2 1 9471 9726 9727 AND 2 1 9379 9505 9728 XOR 2 1 9403 9519 9729 XOR 2 1 9728 9729 9730 XOR 2 1 9491 9730 9731 XOR 2 1 9546 9557 9732 XOR 2 1 9566 9574 9733 XOR 2 1 9732 9733 9734 XOR 2 1 9535 9734 9735 XOR 2 1 9731 9735 9736 XOR 2 1 9212 9603 9737 XOR 2 1 9632 9650 9738 XOR 2 1 9737 9738 9739 XOR 2 1 9588 9739 9740 XOR 2 1 9675 9682 9741 XOR 2 1 9691 9698 9742 XOR 2 1 9741 9742 9743 XOR 2 1 9668 9743 9744 XOR 2 1 9740 9744 9745 XOR 2 1 9566 9709 9746 XOR 2 1 9535 9719 9747 XOR 2 1 9746 9747 9748 XOR 2 1 9505 9748 9749 XOR 2 1 9745 9749 9750 XOR 2 1 9736 9750 9751 XOR 2 1 9727 9751 9752 XOR 2 1 9203 9627 9753 XOR 2 1 9407 9753 9754 XOR 2 1 3179 9754 9755 XOR 2 1 8418 9304 9756 XOR 2 1 9090 9756 9757 XOR 2 1 9396 9757 9758 XOR 2 1 9324 9758 9759 XOR 2 1 3183 9759 9760 XOR 2 1 9755 9760 9761 AND 2 1 8613 8984 9762 XOR 2 1 8858 9762 9763 XOR 2 1 8983 9763 9764 XOR 2 1 9312 9764 9765 XOR 2 1 3189 9765 9766 XOR 2 1 9761 9766 9767 XOR 2 1 9650 9767 9768 XOR 2 1 9045 9627 9769 XOR 2 1 9081 9461 9770 XOR 2 1 9769 9770 9771 XOR 2 1 2848 9771 9772 XOR 2 1 9055 9757 9773 XOR 2 1 9093 9354 9774 XOR 2 1 9773 9774 9775 XOR 2 1 2852 9775 9776 XOR 2 1 9772 9776 9777 AND 2 1 9069 9763 9778 XOR 2 1 9114 9337 9779 XOR 2 1 9778 9779 9780 XOR 2 1 2858 9780 9781 XOR 2 1 9777 9781 9782 XOR 2 1 8002 9625 9783 XOR 2 1 9438 9783 9784 XOR 2 1 3404 9784 9785 XOR 2 1 8933 9198 9786 XOR 2 1 8951 9329 9787 XOR 2 1 8433 9787 9788 XOR 2 1 9786 9788 9789 XOR 2 1 3408 9789 9790 XOR 2 1 9785 9790 9791 AND 2 1 8967 9208 9792 XOR 2 1 8971 9314 9793 XOR 2 1 8616 9793 9794 XOR 2 1 9792 9794 9795 XOR 2 1 3414 9795 9796 XOR 2 1 9791 9796 9797 XOR 2 1 9782 9797 9798 XOR 2 1 9768 9798 9799 XOR 2 1 9123 9799 9800 XOR 2 1 9469 9800 9801 XOR 2 1 8807 9763 9802 XOR 2 1 8625 9802 9803 XOR 2 1 9793 9803 9804 XOR 2 1 1970 9804 9805 XOR 2 1 8825 9769 9806 XOR 2 1 9446 9806 9807 XOR 2 1 2047 9807 9808 XOR 2 1 9805 9808 9809 AND 2 1 8787 9757 9810 XOR 2 1 8551 9810 9811 XOR 2 1 9787 9811 9812 XOR 2 1 2588 9812 9813 XOR 2 1 9809 9813 9814 XOR 2 1 9361 9814 9815 XOR 2 1 9729 9815 9816 XOR 2 1 9274 9816 9817 XOR 2 1 9637 9719 9818 XOR 2 1 9733 9818 9819 XOR 2 1 9379 9819 9820 XOR 2 1 9817 9820 9821 XOR 2 1 8893 9070 9822 XOR 2 1 9344 9822 9823 XOR 2 1 2367 9823 9824 XOR 2 1 9260 9479 9825 XOR 2 1 9244 9825 9826 XOR 2 1 2376 9826 9827 XOR 2 1 9824 9827 9828 AND 2 1 8160 9175 9829 XOR 2 1 9222 9829 9830 XOR 2 1 2399 9830 9831 XOR 2 1 9828 9831 9832 XOR 2 1 9782 9832 9833 XOR 2 1 9152 9833 9834 XOR 2 1 9443 9834 9835 XOR 2 1 9821 9835 9836 XOR 2 1 9801 9836 9837 XOR 2 1 9645 9655 9838 AND 2 1 9642 9838 9839 XOR 2 1 9755 9766 9840 AND 2 1 9487 9553 9841 XOR 2 1 9187 9619 9842 XOR 2 1 9841 9842 9843 XOR 2 1 3195 9843 9844 XOR 2 1 9840 9844 9845 XOR 2 1 9760 9845 9846 AND 2 1 9755 9846 9847 XOR 2 1 9839 9847 9848 XOR 2 1 9772 9781 9849 AND 2 1 9529 9619 9850 XOR 2 1 9450 9541 9851 XOR 2 1 9850 9851 9852 XOR 2 1 2864 9852 9853 XOR 2 1 9849 9853 9854 XOR 2 1 9776 9854 9855 AND 2 1 9772 9855 9856 XOR 2 1 9785 9796 9857 AND 2 1 9475 9617 9858 XOR 2 1 9427 9858 9859 XOR 2 1 3420 9859 9860 XOR 2 1 9857 9860 9861 XOR 2 1 9790 9861 9862 AND 2 1 9785 9862 9863 XOR 2 1 9856 9863 9864 XOR 2 1 9848 9864 9865 XOR 2 1 9548 9865 9866 XOR 2 1 9724 9866 9867 XOR 2 1 9797 9861 9868 AND 2 1 9790 9868 9869 XOR 2 1 9693 9869 9870 XOR 2 1 8897 9778 9871 XOR 2 1 9462 9871 9872 XOR 2 1 2452 9872 9873 XOR 2 1 9266 9530 9874 XOR 2 1 9357 9874 9875 XOR 2 1 2944 9875 9876 XOR 2 1 9873 9876 9877 AND 2 1 8821 9046 9878 XOR 2 1 9338 9878 9879 XOR 2 1 2458 9879 9880 XOR 2 1 9877 9880 9881 XOR 2 1 9873 9880 9882 AND 2 1 8875 9773 9883 XOR 2 1 9456 9883 9884 XOR 2 1 2464 9884 9885 XOR 2 1 9882 9885 9886 XOR 2 1 9881 9886 9887 AND 2 1 9887 9876 9888 XOR 2 1 9670 9888 9889 XOR 2 1 9870 9889 9890 XOR 2 1 9592 9890 9891 XOR 2 1 8979 9519 9892 AND 2 1 8964 9892 9893 XOR 2 1 9040 9403 9894 AND 2 1 9022 9894 9895 XOR 2 1 9893 9895 9896 XOR 2 1 9455 9662 9897 XOR 2 1 8837 9897 9898 XOR 2 1 9531 9898 9899 XOR 2 1 1864 9899 9900 XOR 2 1 9341 9900 9901 AND 2 1 9347 9901 9902 XOR 2 1 9361 9902 9903 AND 2 1 9903 9900 9904 XOR 2 1 9371 9850 9905 XOR 2 1 9715 9905 9906 XOR 2 1 1992 9906 9907 XOR 2 1 9805 9907 9908 AND 2 1 9808 9908 9909 XOR 2 1 9814 9909 9910 AND 2 1 9910 9907 9911 XOR 2 1 9904 9911 9912 XOR 2 1 9896 9912 9913 XOR 2 1 9677 9913 9914 XOR 2 1 9891 9914 9915 XOR 2 1 9824 9831 9916 AND 2 1 8863 9056 9917 XOR 2 1 9897 9917 9918 XOR 2 1 2822 9918 9919 XOR 2 1 9916 9919 9920 XOR 2 1 9827 9920 9921 AND 2 1 9824 9921 9922 XOR 2 1 9856 9922 9923 XOR 2 1 9560 9923 9924 XOR 2 1 9711 9924 9925 XOR 2 1 9915 9925 9926 XOR 2 1 9867 9926 9927 XOR 2 1 9837 9927 9928 AND 2 1 9655 9845 9929 XOR 2 1 9854 9861 9930 XOR 2 1 9929 9930 9931 XOR 2 1 9546 9931 9932 XOR 2 1 9749 9932 9933 XOR 2 1 9691 9797 9934 XOR 2 1 9668 9881 9935 XOR 2 1 9934 9935 9936 XOR 2 1 9212 9936 9937 XOR 2 1 9902 9909 9938 XOR 2 1 9041 9938 9939 XOR 2 1 9675 9939 9940 XOR 2 1 9937 9940 9941 XOR 2 1 9854 9920 9942 XOR 2 1 9732 9942 9943 XOR 2 1 9709 9943 9944 XOR 2 1 9941 9944 9945 XOR 2 1 9933 9945 9946 XOR 2 1 9928 9946 9947 XOR 2 1 9466 9632 9948 XOR 2 1 9213 9948 9949 XOR 2 1 8905 9949 9950 XOR 2 1 9675 9767 9951 XOR 2 1 9934 9951 9952 XOR 2 1 9151 9952 9953 XOR 2 1 9950 9953 9954 XOR 2 1 9709 9814 9955 XOR 2 1 9419 9955 9956 XOR 2 1 9293 9956 9957 XOR 2 1 9574 9608 9958 XOR 2 1 9637 9655 9959 XOR 2 1 9958 9959 9960 XOR 2 1 9393 9960 9961 XOR 2 1 9957 9961 9962 XOR 2 1 9151 9668 9963 XOR 2 1 9798 9963 9964 XOR 2 1 9173 9964 9965 XOR 2 1 9962 9965 9966 XOR 2 1 9954 9966 9967 XOR 2 1 9623 9637 9968 AND 2 1 9614 9968 9969 XOR 2 1 9721 9969 9970 XOR 2 1 9577 9970 9971 XOR 2 1 9509 9971 9972 XOR 2 1 9274 9673 9973 AND 2 1 9252 9973 9974 XOR 2 1 9847 9974 9975 XOR 2 1 9311 9689 9976 AND 2 1 9298 9976 9977 XOR 2 1 9863 9977 9978 XOR 2 1 9975 9978 9979 XOR 2 1 9559 9979 9980 XOR 2 1 9972 9980 9981 XOR 2 1 9228 9792 9982 XOR 2 1 9628 9982 9983 XOR 2 1 2483 9983 9984 XOR 2 1 9288 9714 9985 XOR 2 1 9810 9985 9986 XOR 2 1 2952 9986 9987 XOR 2 1 9984 9987 9988 AND 2 1 9277 9445 9989 XOR 2 1 9802 9989 9990 XOR 2 1 2492 9990 9991 XOR 2 1 9988 9991 9992 XOR 2 1 9984 9991 9993 AND 2 1 9582 9786 9994 XOR 2 1 9620 9994 9995 XOR 2 1 2497 9995 9996 XOR 2 1 9993 9996 9997 XOR 2 1 9992 9997 9998 AND 2 1 9998 9987 9999 XOR 2 1 9888 9999 10000 XOR 2 1 9701 10000 10001 XOR 2 1 9610 10001 10002 XOR 2 1 9616 9841 10003 XOR 2 1 9570 10003 10004 XOR 2 1 2692 10004 10005 XOR 2 1 9406 10005 10006 AND 2 1 9412 10006 10007 XOR 2 1 9418 10007 10008 AND 2 1 10008 10005 10009 XOR 2 1 9895 10009 10010 XOR 2 1 9443 9709 10011 AND 2 1 10011 9431 10012 XOR 2 1 9911 10012 10013 XOR 2 1 10010 10013 10014 XOR 2 1 9684 10014 10015 XOR 2 1 10002 10015 10016 XOR 2 1 9248 9666 10017 AND 2 1 9225 10017 10018 XOR 2 1 9559 10018 10019 XOR 2 1 9864 10019 10020 XOR 2 1 9568 10020 10021 XOR 2 1 10016 10021 10022 XOR 2 1 9981 10022 10023 XOR 2 1 9967 10023 10024 AND 2 1 9274 9845 10025 XOR 2 1 9311 9861 10026 XOR 2 1 10025 10026 10027 XOR 2 1 9557 10027 10028 XOR 2 1 9820 10028 10029 XOR 2 1 9881 9992 10030 XOR 2 1 9742 10030 10031 XOR 2 1 9603 10031 10032 XOR 2 1 9040 10007 10033 XOR 2 1 9443 9909 10034 XOR 2 1 10033 10034 10035 XOR 2 1 9682 10035 10036 XOR 2 1 10032 10036 10037 XOR 2 1 9248 9557 10038 XOR 2 1 9930 10038 10039 XOR 2 1 9566 10039 10040 XOR 2 1 10037 10040 10041 XOR 2 1 10029 10041 10042 XOR 2 1 10024 10042 10043 XOR 2 1 9947 10043 10044 XOR 2 1 8905 9588 10045 XOR 2 1 9467 10045 10046 XOR 2 1 8979 10046 10047 XOR 2 1 9965 10047 10048 XOR 2 1 8621 9205 10049 XOR 2 1 9231 10049 10050 XOR 2 1 2148 10050 10051 XOR 2 1 8988 9124 10052 XOR 2 1 9380 10052 10053 XOR 2 1 2195 10053 10054 XOR 2 1 10051 10054 10055 AND 2 1 8482 9192 10056 XOR 2 1 9663 10056 10057 XOR 2 1 2628 10057 10058 XOR 2 1 10055 10058 10059 XOR 2 1 9293 10059 10060 XOR 2 1 9815 10060 10061 XOR 2 1 9311 10061 10062 XOR 2 1 9393 9920 10063 XOR 2 1 9818 10063 10064 XOR 2 1 9403 10064 10065 XOR 2 1 10062 10065 10066 XOR 2 1 9215 10066 10067 XOR 2 1 10048 10067 10068 XOR 2 1 9393 9586 10069 AND 2 1 9383 10069 10070 XOR 2 1 9509 10070 10071 XOR 2 1 9722 10071 10072 XOR 2 1 9521 10072 10073 XOR 2 1 10021 10073 10074 XOR 2 1 9033 9143 10075 XOR 2 1 9384 10075 10076 XOR 2 1 2508 10076 10077 XOR 2 1 9306 9368 10078 XOR 2 1 9289 10078 10079 XOR 2 1 2961 10079 10080 XOR 2 1 10077 10080 10081 AND 2 1 8818 8986 10082 XOR 2 1 9278 10082 10083 XOR 2 1 2514 10083 10084 XOR 2 1 10081 10084 10085 XOR 2 1 10077 10084 10086 AND 2 1 9013 9132 10087 XOR 2 1 9583 10087 10088 XOR 2 1 2520 10088 10089 XOR 2 1 10086 10089 10090 XOR 2 1 10085 10090 10091 AND 2 1 10091 10080 10092 XOR 2 1 9610 10092 10093 XOR 2 1 9889 10093 10094 XOR 2 1 9639 10094 10095 XOR 2 1 9398 9550 10096 XOR 2 1 9389 10096 10097 XOR 2 1 2164 10097 10098 XOR 2 1 10051 10098 10099 AND 2 1 10054 10099 10100 XOR 2 1 10059 10100 10101 AND 2 1 10101 10098 10102 XOR 2 1 9684 10102 10103 XOR 2 1 9912 10103 10104 XOR 2 1 9693 10104 10105 XOR 2 1 10095 10105 10106 XOR 2 1 9579 10106 10107 XOR 2 1 10074 10107 10108 XOR 2 1 10068 10108 10109 AND 2 1 9394 9747 10110 XOR 2 1 9519 10110 10111 XOR 2 1 10040 10111 10112 XOR 2 1 9603 10085 10113 XOR 2 1 9935 10113 10114 XOR 2 1 9632 10114 10115 XOR 2 1 9682 10100 10116 XOR 2 1 9938 10116 10117 XOR 2 1 9691 10117 10118 XOR 2 1 10115 10118 10119 XOR 2 1 9735 10119 10120 XOR 2 1 10112 10120 10121 XOR 2 1 10109 10121 10122 XOR 2 1 9588 9832 10123 XOR 2 1 9948 10123 10124 XOR 2 1 9040 10124 10125 XOR 2 1 9937 10125 10126 XOR 2 1 9535 10059 10127 XOR 2 1 9955 10127 10128 XOR 2 1 9333 10128 10129 XOR 2 1 9942 9959 10130 XOR 2 1 9418 10130 10131 XOR 2 1 10129 10131 10132 XOR 2 1 9737 9963 10133 XOR 2 1 9466 10133 10134 XOR 2 1 10132 10134 10135 XOR 2 1 10126 10135 10136 XOR 2 1 9922 10070 10137 XOR 2 1 9970 10137 10138 XOR 2 1 9523 10138 10139 XOR 2 1 9876 9886 10140 AND 2 1 9873 10140 10141 XOR 2 1 10018 10141 10142 XOR 2 1 9978 10142 10143 XOR 2 1 9576 10143 10144 XOR 2 1 10139 10144 10145 XOR 2 1 8638 9491 10146 AND 2 1 8611 10146 10147 XOR 2 1 10092 10147 10148 XOR 2 1 10000 10148 10149 XOR 2 1 9657 10149 10150 XOR 2 1 9077 9535 10151 AND 2 1 9065 10151 10152 XOR 2 1 10102 10152 10153 XOR 2 1 10013 10153 10154 XOR 2 1 9700 10154 10155 XOR 2 1 10150 10155 10156 XOR 2 1 9598 9608 10157 AND 2 1 9595 10157 10158 XOR 2 1 9576 10158 10159 XOR 2 1 10019 10159 10160 XOR 2 1 9721 10160 10161 XOR 2 1 10156 10161 10162 XOR 2 1 10145 10162 10163 XOR 2 1 10136 10163 10164 AND 2 1 9248 9886 10165 XOR 2 1 10026 10165 10166 XOR 2 1 9574 10166 10167 XOR 2 1 10065 10167 10168 XOR 2 1 8638 10085 10169 XOR 2 1 10030 10169 10170 XOR 2 1 9650 10170 10171 XOR 2 1 9077 10100 10172 XOR 2 1 10034 10172 10173 XOR 2 1 9698 10173 10174 XOR 2 1 10171 10174 10175 XOR 2 1 9958 10038 10176 XOR 2 1 9719 10176 10177 XOR 2 1 10175 10177 10178 XOR 2 1 10168 10178 10179 XOR 2 1 10164 10179 10180 XOR 2 1 10122 10180 10181 XOR 2 1 10044 10181 10182 XOR 2 1 9752 10182 10183 XOR 2 1 9951 10169 10184 XOR 2 1 9782 10184 10185 XOR 2 1 9215 10185 10186 XOR 2 1 9421 10177 10187 XOR 2 1 9800 10187 10188 XOR 2 1 10186 10188 10189 XOR 2 1 10080 10090 10190 AND 2 1 10077 10190 10191 XOR 2 1 9493 10191 10192 XOR 2 1 9975 10192 10193 XOR 2 1 9856 10193 10194 XOR 2 1 9579 10194 10195 XOR 2 1 8905 9379 10196 AND 2 1 8884 10196 10197 XOR 2 1 9590 10197 10198 XOR 2 1 10010 10198 10199 XOR 2 1 9904 10199 10200 XOR 2 1 9703 10200 10201 XOR 2 1 9866 10201 10202 XOR 2 1 10195 10202 10203 XOR 2 1 10189 10203 10204 AND 2 1 9491 10090 10205 XOR 2 1 10025 10205 10206 XOR 2 1 9854 10206 10207 XOR 2 1 9735 10207 10208 XOR 2 1 10033 10045 10209 XOR 2 1 9902 10209 10210 XOR 2 1 9744 10210 10211 XOR 2 1 9932 10211 10212 XOR 2 1 10208 10212 10213 XOR 2 1 10204 10213 10214 XOR 2 1 8811 9698 10215 XOR 2 1 8979 9992 10216 XOR 2 1 10215 10216 10217 XOR 2 1 10085 10217 10218 XOR 2 1 9800 10218 10219 XOR 2 1 10029 10171 10220 XOR 2 1 10219 10220 10221 XOR 2 1 9333 9696 10222 AND 2 1 9317 10222 10223 XOR 2 1 9507 10223 10224 XOR 2 1 9987 9997 10225 AND 2 1 9984 10225 10226 XOR 2 1 9521 10226 10227 XOR 2 1 10224 10227 10228 XOR 2 1 10191 10228 10229 XOR 2 1 9866 10229 10230 XOR 2 1 9173 9566 10231 AND 2 1 9166 10231 10232 XOR 2 1 9592 10232 10233 XOR 2 1 9466 9719 10234 AND 2 1 9459 10234 10235 XOR 2 1 9639 10235 10236 XOR 2 1 10233 10236 10237 XOR 2 1 10197 10237 10238 XOR 2 1 9914 10238 10239 XOR 2 1 10141 10226 10240 XOR 2 1 10192 10240 10241 XOR 2 1 9839 10241 10242 XOR 2 1 10239 10242 10243 XOR 2 1 10230 10243 10244 XOR 2 1 10221 10244 10245 AND 2 1 9333 9505 10246 XOR 2 1 9519 9997 10247 XOR 2 1 10246 10247 10248 XOR 2 1 10090 10248 10249 XOR 2 1 9932 10249 10250 XOR 2 1 9940 9950 10251 XOR 2 1 9886 9997 10252 XOR 2 1 10205 10252 10253 XOR 2 1 9655 10253 10254 XOR 2 1 10251 10254 10255 XOR 2 1 10250 10255 10256 XOR 2 1 10245 10256 10257 XOR 2 1 9043 9953 10258 XOR 2 1 9336 9961 10259 XOR 2 1 8638 9902 10260 XOR 2 1 10216 10260 10261 XOR 2 1 9767 10261 10262 XOR 2 1 10259 10262 10263 XOR 2 1 10258 10263 10264 XOR 2 1 9526 9980 10265 XOR 2 1 9660 10015 10266 XOR 2 1 9361 9900 10267 AND 2 1 9341 10267 10268 XOR 2 1 9493 10268 10269 XOR 2 1 10227 10269 10270 XOR 2 1 9847 10270 10271 XOR 2 1 10266 10271 10272 XOR 2 1 10265 10272 10273 XOR 2 1 10264 10273 10274 AND 2 1 9731 10028 10275 XOR 2 1 9740 10036 10276 XOR 2 1 9361 9491 10277 XOR 2 1 10247 10277 10278 XOR 2 1 9845 10278 10279 XOR 2 1 10276 10279 10280 XOR 2 1 10275 10280 10281 XOR 2 1 10274 10281 10282 XOR 2 1 10257 10282 10283 XOR 2 1 9965 10262 10284 XOR 2 1 10168 10185 10285 XOR 2 1 10284 10285 10286 XOR 2 1 10021 10271 10287 XOR 2 1 9832 9920 10288 AND 2 1 9827 10288 10289 XOR 2 1 9590 10289 10290 XOR 2 1 10236 10290 10291 XOR 2 1 9895 10291 10292 XOR 2 1 10105 10292 10293 XOR 2 1 10194 10293 10294 XOR 2 1 10287 10294 10295 XOR 2 1 10286 10295 10296 AND 2 1 10040 10279 10297 XOR 2 1 10118 10125 10298 XOR 2 1 10207 10298 10299 XOR 2 1 10297 10299 10300 XOR 2 1 10296 10300 10301 XOR 2 1 9334 10252 10302 XOR 2 1 9608 10302 10303 XOR 2 1 10131 10303 10304 XOR 2 1 9741 10260 10305 XOR 2 1 9797 10305 10306 XOR 2 1 10304 10306 10307 XOR 2 1 9941 10307 10308 XOR 2 1 9814 9907 10309 AND 2 1 9805 10309 10310 XOR 2 1 10268 10310 10311 XOR 2 1 9524 10311 10312 XOR 2 1 9974 10312 10313 XOR 2 1 10144 10313 10314 XOR 2 1 9782 9854 10315 AND 2 1 9776 10315 10316 XOR 2 1 10289 10316 10317 XOR 2 1 9658 10317 10318 XOR 2 1 10009 10318 10319 XOR 2 1 10155 10319 10320 XOR 2 1 9293 9680 10321 AND 2 1 9281 10321 10322 XOR 2 1 9974 10322 10323 XOR 2 1 10269 10323 10324 XOR 2 1 9863 10324 10325 XOR 2 1 10320 10325 10326 XOR 2 1 10314 10326 10327 XOR 2 1 10308 10327 10328 AND 2 1 9817 10167 10329 XOR 2 1 9738 9833 10330 XOR 2 1 10007 10330 10331 XOR 2 1 10174 10331 10332 XOR 2 1 9294 10277 10333 XOR 2 1 9861 10333 10334 XOR 2 1 10332 10334 10335 XOR 2 1 10329 10335 10336 XOR 2 1 10328 10336 10337 XOR 2 1 10301 10337 10338 XOR 2 1 10283 10338 10339 XOR 2 1 10214 10339 10340 XOR 2 1 10183 10340 10341 XOR 2 1 9608 10090 10342 XOR 2 1 9929 10342 10343 XOR 2 1 9920 10343 10344 XOR 2 1 10060 10246 10345 XOR 2 1 9886 10345 10346 XOR 2 1 10344 10346 10347 XOR 2 1 9940 10347 10348 XOR 2 1 10211 10348 10349 XOR 2 1 9123 10007 10350 XOR 2 1 9444 10350 10351 XOR 2 1 10100 10351 10352 XOR 2 1 9768 10113 10353 XOR 2 1 9832 10353 10354 XOR 2 1 10352 10354 10355 XOR 2 1 9817 10355 10356 XOR 2 1 9422 10356 10357 XOR 2 1 10349 10357 10358 AND 2 1 10158 10191 10359 XOR 2 1 9848 10359 10360 XOR 2 1 9922 10360 10361 XOR 2 1 10059 10098 10362 AND 2 1 10051 10362 10363 XOR 2 1 10322 10363 10364 XOR 2 1 10224 10364 10365 XOR 2 1 10141 10365 10366 XOR 2 1 10361 10366 10367 XOR 2 1 9914 10367 10368 XOR 2 1 10201 10368 10369 XOR 2 1 10358 10369 10370 XOR 2 1 9957 10303 10371 XOR 2 1 10047 10371 10372 XOR 2 1 10251 10372 10373 XOR 2 1 10032 10331 10374 XOR 2 1 10111 10374 10375 XOR 2 1 9821 10375 10376 XOR 2 1 10373 10376 10377 AND 2 1 9977 10223 10378 XOR 2 1 10240 10378 10379 XOR 2 1 10158 10379 10380 XOR 2 1 9418 10005 10381 AND 2 1 9406 10381 10382 XOR 2 1 9523 10382 10383 XOR 2 1 9711 10310 10384 XOR 2 1 10383 10384 10385 XOR 2 1 10322 10385 10386 XOR 2 1 10380 10386 10387 XOR 2 1 10152 10235 10388 XOR 2 1 10198 10388 10389 XOR 2 1 9893 10389 10390 XOR 2 1 10387 10390 10391 XOR 2 1 10239 10391 10392 XOR 2 1 10377 10392 10393 XOR 2 1 9418 9546 10394 XOR 2 1 9746 10394 10395 XOR 2 1 10059 10395 10396 XOR 2 1 10249 10396 10397 XOR 2 1 10125 10397 10398 XOR 2 1 10276 10398 10399 XOR 2 1 10065 10219 10400 XOR 2 1 9962 10400 10401 XOR 2 1 10399 10401 10402 AND 2 1 9548 10382 10403 XOR 2 1 9712 10403 10404 XOR 2 1 10363 10404 10405 XOR 2 1 10229 10405 10406 XOR 2 1 10292 10406 10407 XOR 2 1 10266 10407 10408 XOR 2 1 10402 10408 10409 XOR 2 1 10393 10409 10410 XOR 2 1 10129 10254 10411 XOR 2 1 10210 10411 10412 XOR 2 1 10298 10412 10413 XOR 2 1 9835 10171 10414 XOR 2 1 9421 10414 10415 XOR 2 1 10066 10415 10416 XOR 2 1 10413 10416 10417 AND 2 1 9537 10363 10418 XOR 2 1 10384 10418 10419 XOR 2 1 10223 10419 10420 XOR 2 1 10242 10420 10421 XOR 2 1 10200 10421 10422 XOR 2 1 10293 10422 10423 XOR 2 1 10417 10423 10424 XOR 2 1 9749 10279 10425 XOR 2 1 10123 10350 10426 XOR 2 1 9909 10426 10427 XOR 2 1 10425 10427 10428 XOR 2 1 10332 10428 10429 XOR 2 1 10063 10394 10430 XOR 2 1 9814 10430 10431 XOR 2 1 10284 10431 10432 XOR 2 1 10132 10432 10433 XOR 2 1 10429 10433 10434 AND 2 1 9724 10271 10435 XOR 2 1 9123 9546 10436 AND 2 1 9109 10436 10437 XOR 2 1 10009 10437 10438 XOR 2 1 10290 10438 10439 XOR 2 1 9911 10439 10440 XOR 2 1 10435 10440 10441 XOR 2 1 10320 10441 10442 XOR 2 1 10434 10442 10443 XOR 2 1 10424 10443 10444 XOR 2 1 10410 10444 10445 XOR 2 1 10370 10445 10446 XOR 2 1 10134 10210 10447 XOR 2 1 10346 10431 10448 XOR 2 1 9950 10448 10449 XOR 2 1 10447 10449 10450 XOR 2 1 10116 10215 10451 XOR 2 1 9881 10451 10452 XOR 2 1 10354 10452 10453 XOR 2 1 9820 10453 10454 XOR 2 1 10187 10454 10455 XOR 2 1 10450 10455 10456 AND 2 1 9151 9557 10457 AND 2 1 9141 10457 10458 XOR 2 1 9670 10458 10459 XOR 2 1 9611 10459 10460 XOR 2 1 10235 10460 10461 XOR 2 1 10200 10461 10462 XOR 2 1 10137 10403 10463 XOR 2 1 10310 10463 10464 XOR 2 1 10366 10464 10465 XOR 2 1 10238 10465 10466 XOR 2 1 10462 10466 10467 XOR 2 1 10456 10467 10468 XOR 2 1 9967 10042 10469 AND 2 1 9767 9845 10470 AND 2 1 9760 10470 10471 XOR 2 1 9677 10471 10472 XOR 2 1 9870 10472 10473 XOR 2 1 10458 10473 10474 XOR 2 1 10238 10474 10475 XOR 2 1 9839 9969 10476 XOR 2 1 10159 10476 10477 XOR 2 1 10070 10477 10478 XOR 2 1 10386 10478 10479 XOR 2 1 9869 10316 10480 XOR 2 1 10459 10480 10481 XOR 2 1 10232 10481 10482 XOR 2 1 10479 10482 10483 XOR 2 1 10475 10483 10484 XOR 2 1 10469 10484 10485 XOR 2 1 10344 10396 10486 XOR 2 1 9937 10486 10487 XOR 2 1 9745 10487 10488 XOR 2 1 10218 10352 10489 XOR 2 1 10167 10489 10490 XOR 2 1 10259 10490 10491 XOR 2 1 10488 10491 10492 AND 2 1 10361 10405 10493 XOR 2 1 9891 10493 10494 XOR 2 1 9704 10494 10495 XOR 2 1 10492 10495 10496 XOR 2 1 10485 10496 10497 XOR 2 1 10136 10179 10498 AND 2 1 9891 10292 10499 XOR 2 1 9923 10476 10500 XOR 2 1 10382 10500 10501 XOR 2 1 10420 10501 10502 XOR 2 1 10461 10502 10503 XOR 2 1 10499 10503 10504 XOR 2 1 10498 10504 10505 XOR 2 1 9933 10115 10506 XOR 2 1 10374 10506 10507 XOR 2 1 9469 10262 10508 XOR 2 1 10165 10342 10509 XOR 2 1 9637 10509 10510 XOR 2 1 10508 10510 10511 XOR 2 1 10304 10511 10512 XOR 2 1 10507 10512 10513 AND 2 1 10002 10319 10514 XOR 2 1 9867 10095 10515 XOR 2 1 10514 10515 10516 XOR 2 1 10513 10516 10517 XOR 2 1 10505 10517 10518 XOR 2 1 10497 10518 10519 XOR 2 1 10468 10519 10520 XOR 2 1 10446 10520 10521 XOR 2 1 10112 10354 10522 XOR 2 1 10414 10522 10523 XOR 2 1 9925 10242 10524 XOR 2 1 9904 10147 10525 XOR 2 1 9685 10525 10526 XOR 2 1 9869 10526 10527 XOR 2 1 10390 10527 10528 XOR 2 1 10361 10528 10529 XOR 2 1 10524 10529 10530 XOR 2 1 10523 10530 10531 AND 2 1 9944 10254 10532 XOR 2 1 10047 10306 10533 XOR 2 1 10344 10533 10534 XOR 2 1 10532 10534 10535 XOR 2 1 10531 10535 10536 XOR 2 1 10301 10536 10537 XOR 2 1 10134 10306 10538 XOR 2 1 10431 10510 10539 XOR 2 1 9953 10539 10540 XOR 2 1 10538 10540 10541 XOR 2 1 10161 10325 10542 XOR 2 1 8811 9505 10543 AND 2 1 8790 10543 10544 XOR 2 1 9700 10544 10545 XOR 2 1 10103 10545 10546 XOR 2 1 9888 10546 10547 XOR 2 1 10440 10547 10548 XOR 2 1 9980 10548 10549 XOR 2 1 10542 10549 10550 XOR 2 1 10541 10550 10551 AND 2 1 10177 10334 10552 XOR 2 1 10427 10452 10553 XOR 2 1 10028 10553 10554 XOR 2 1 10552 10554 10555 XOR 2 1 10551 10555 10556 XOR 2 1 10214 10556 10557 XOR 2 1 10537 10557 10558 XOR 2 1 9947 10558 10559 XOR 2 1 10521 10559 10560 XOR 2 1 10341 10560 10561 XOR 2 1 385 10561 10562 XOR 2 1 9471 9751 10563 AND 2 1 10197 10544 10564 XOR 2 1 9896 10564 10565 XOR 2 1 10147 10565 10566 XOR 2 1 10437 10458 10567 XOR 2 1 10233 10567 10568 XOR 2 1 10152 10568 10569 XOR 2 1 10566 10569 10570 XOR 2 1 10323 10378 10571 XOR 2 1 10018 10571 10572 XOR 2 1 10071 10383 10573 XOR 2 1 10268 10573 10574 XOR 2 1 10572 10574 10575 XOR 2 1 10012 10232 10576 XOR 2 1 10388 10576 10577 XOR 2 1 10544 10577 10578 XOR 2 1 10575 10578 10579 XOR 2 1 10570 10579 10580 XOR 2 1 10563 10580 10581 XOR 2 1 9726 10581 10582 AND 2 1 9471 10582 10583 XOR 2 1 9837 9946 10584 AND 2 1 9657 10471 10585 XOR 2 1 10480 10585 10586 XOR 2 1 10437 10586 10587 XOR 2 1 10578 10587 10588 XOR 2 1 9972 10313 10589 XOR 2 1 10317 10567 10590 XOR 2 1 10012 10590 10591 XOR 2 1 10589 10591 10592 XOR 2 1 10588 10592 10593 XOR 2 1 10584 10593 10594 XOR 2 1 9927 10594 10595 AND 2 1 9837 10595 10596 XOR 2 1 10023 10485 10597 AND 2 1 9967 10597 10598 XOR 2 1 10596 10598 10599 XOR 2 1 10068 10121 10600 AND 2 1 10390 10482 10601 XOR 2 1 10311 10364 10602 XOR 2 1 9977 10602 10603 XOR 2 1 10139 10603 10604 XOR 2 1 10569 10604 10605 XOR 2 1 10601 10605 10606 XOR 2 1 10600 10606 10607 XOR 2 1 10108 10607 10608 AND 2 1 10068 10608 10609 XOR 2 1 10163 10505 10610 AND 2 1 10136 10610 10611 XOR 2 1 10609 10611 10612 XOR 2 1 10599 10612 10613 XOR 2 1 10583 10613 10614 XOR 2 1 10189 10213 10615 AND 2 1 10148 10472 10616 XOR 2 1 10316 10616 10617 XOR 2 1 10569 10617 10618 XOR 2 1 10161 10574 10619 XOR 2 1 10587 10619 10620 XOR 2 1 10618 10620 10621 XOR 2 1 10615 10621 10622 XOR 2 1 10203 10622 10623 AND 2 1 10189 10623 10624 XOR 2 1 10221 10256 10625 AND 2 1 9893 9999 10626 XOR 2 1 10545 10626 10627 XOR 2 1 10092 10627 10628 XOR 2 1 10587 10628 10629 XOR 2 1 9981 10150 10630 XOR 2 1 10629 10630 10631 XOR 2 1 10625 10631 10632 XOR 2 1 10244 10632 10633 AND 2 1 10221 10633 10634 XOR 2 1 10264 10281 10635 AND 2 1 10474 10566 10636 XOR 2 1 10478 10572 10637 XOR 2 1 10525 10626 10638 XOR 2 1 10471 10638 10639 XOR 2 1 10637 10639 10640 XOR 2 1 10636 10640 10641 XOR 2 1 10635 10641 10642 XOR 2 1 10273 10642 10643 AND 2 1 10264 10643 10644 XOR 2 1 10634 10644 10645 XOR 2 1 10286 10300 10646 AND 2 1 10482 10639 10647 XOR 2 1 10145 10617 10648 XOR 2 1 10647 10648 10649 XOR 2 1 10646 10649 10650 XOR 2 1 10295 10650 10651 AND 2 1 10286 10651 10652 XOR 2 1 10308 10336 10653 AND 2 1 10380 10501 10654 XOR 2 1 10527 10654 10655 XOR 2 1 9915 10655 10656 XOR 2 1 10653 10656 10657 XOR 2 1 10327 10657 10658 AND 2 1 10308 10658 10659 XOR 2 1 10652 10659 10660 XOR 2 1 10645 10660 10661 XOR 2 1 10624 10661 10662 XOR 2 1 10614 10662 10663 XOR 2 1 10438 10576 10664 XOR 2 1 10102 10664 10665 XOR 2 1 10628 10665 10666 XOR 2 1 10144 10666 10667 XOR 2 1 10637 10667 10668 XOR 2 1 10488 10668 10669 AND 2 1 10491 10669 10670 XOR 2 1 10496 10670 10671 AND 2 1 10671 10668 10672 XOR 2 1 10337 10657 10673 AND 2 1 10327 10673 10674 XOR 2 1 10118 10250 10675 XOR 2 1 10037 10675 10676 XOR 2 1 10588 10603 10677 XOR 2 1 10387 10677 10678 XOR 2 1 10676 10678 10679 AND 2 1 9801 10062 10680 XOR 2 1 10371 10680 10681 XOR 2 1 10679 10681 10682 XOR 2 1 10676 10681 10683 AND 2 1 10105 10230 10684 XOR 2 1 10016 10684 10685 XOR 2 1 10683 10685 10686 XOR 2 1 10682 10686 10687 AND 2 1 10687 10678 10688 XOR 2 1 10674 10688 10689 XOR 2 1 9744 10532 10690 XOR 2 1 10119 10690 10691 XOR 2 1 10142 10359 10692 XOR 2 1 9969 10692 10693 XOR 2 1 10603 10693 10694 XOR 2 1 10153 10564 10695 XOR 2 1 9999 10695 10696 XOR 2 1 10591 10696 10697 XOR 2 1 10572 10697 10698 XOR 2 1 10694 10698 10699 XOR 2 1 10691 10699 10700 AND 2 1 10062 10510 10701 XOR 2 1 8906 10172 10702 XOR 2 1 9992 10702 10703 XOR 2 1 9835 10703 10704 XOR 2 1 9336 10704 10705 XOR 2 1 10701 10705 10706 XOR 2 1 10700 10706 10707 XOR 2 1 10691 10706 10708 AND 2 1 9703 10524 10709 XOR 2 1 10106 10709 10710 XOR 2 1 10708 10710 10711 XOR 2 1 10707 10711 10712 AND 2 1 10712 10699 10713 XOR 2 1 10297 10452 10714 XOR 2 1 10175 10714 10715 XOR 2 1 10366 10601 10716 XOR 2 1 10421 10716 10717 XOR 2 1 10715 10717 10718 AND 2 1 10048 10346 10719 XOR 2 1 10411 10719 10720 XOR 2 1 10718 10720 10721 XOR 2 1 10715 10720 10722 AND 2 1 10287 10547 10723 XOR 2 1 10156 10723 10724 XOR 2 1 10722 10724 10725 XOR 2 1 10721 10725 10726 AND 2 1 10726 10717 10727 XOR 2 1 10713 10727 10728 XOR 2 1 10689 10728 10729 XOR 2 1 10672 10729 10730 XOR 2 1 10093 10585 10731 XOR 2 1 10289 10731 10732 XOR 2 1 10665 10732 10733 XOR 2 1 10313 10733 10734 XOR 2 1 10575 10734 10735 XOR 2 1 10349 10735 10736 AND 2 1 10357 10736 10737 XOR 2 1 10370 10737 10738 AND 2 1 10738 10735 10739 XOR 2 1 10073 10514 10740 XOR 2 1 10589 10740 10741 XOR 2 1 10373 10741 10742 AND 2 1 10376 10742 10743 XOR 2 1 10393 10743 10744 AND 2 1 10744 10741 10745 XOR 2 1 10139 10629 10746 XOR 2 1 10479 10746 10747 XOR 2 1 10399 10747 10748 AND 2 1 10401 10748 10749 XOR 2 1 10409 10749 10750 AND 2 1 10750 10747 10751 XOR 2 1 10745 10751 10752 XOR 2 1 10150 10591 10753 XOR 2 1 10574 10753 10754 XOR 2 1 10604 10754 10755 XOR 2 1 10413 10755 10756 AND 2 1 10416 10756 10757 XOR 2 1 10424 10757 10758 AND 2 1 10758 10755 10759 XOR 2 1 10464 10647 10760 XOR 2 1 10502 10760 10761 XOR 2 1 10429 10761 10762 AND 2 1 10433 10762 10763 XOR 2 1 10443 10763 10764 AND 2 1 10764 10761 10765 XOR 2 1 10759 10765 10766 XOR 2 1 10752 10766 10767 XOR 2 1 10739 10767 10768 XOR 2 1 10730 10768 10769 XOR 2 1 10523 10535 10770 AND 2 1 10074 10732 10771 XOR 2 1 10753 10771 10772 XOR 2 1 10770 10772 10773 XOR 2 1 10530 10773 10774 AND 2 1 10523 10774 10775 XOR 2 1 10652 10775 10776 XOR 2 1 10541 10555 10777 AND 2 1 10461 10527 10778 XOR 2 1 10464 10693 10779 XOR 2 1 10474 10779 10780 XOR 2 1 10778 10780 10781 XOR 2 1 10777 10781 10782 XOR 2 1 10550 10782 10783 AND 2 1 10541 10783 10784 XOR 2 1 10624 10784 10785 XOR 2 1 10776 10785 10786 XOR 2 1 10596 10786 10787 XOR 2 1 10769 10787 10788 XOR 2 1 10663 10788 10789 XOR 2 1 5292 10789 10790 XOR 2 1 10562 10790 10791 AND 2 1 10485 10594 10792 XOR 2 1 10505 10607 10793 XOR 2 1 10792 10793 10794 XOR 2 1 10581 10794 10795 XOR 2 1 10632 10642 10796 XOR 2 1 10650 10657 10797 XOR 2 1 10796 10797 10798 XOR 2 1 10622 10798 10799 XOR 2 1 10795 10799 10800 XOR 2 1 10337 10682 10801 XOR 2 1 10707 10721 10802 XOR 2 1 10801 10802 10803 XOR 2 1 10670 10803 10804 XOR 2 1 10743 10749 10805 XOR 2 1 10757 10763 10806 XOR 2 1 10805 10806 10807 XOR 2 1 10737 10807 10808 XOR 2 1 10804 10808 10809 XOR 2 1 10650 10773 10810 XOR 2 1 10622 10782 10811 XOR 2 1 10810 10811 10812 XOR 2 1 10594 10812 10813 XOR 2 1 10809 10813 10814 XOR 2 1 10800 10814 10815 XOR 2 1 4874 10815 10816 XOR 2 1 10791 10816 10817 XOR 2 1 10329 10703 10818 XOR 2 1 10508 10818 10819 XOR 2 1 9510 10418 10820 XOR 2 1 10226 10820 10821 XOR 2 1 10499 10821 10822 XOR 2 1 10435 10822 10823 XOR 2 1 10819 10823 10824 AND 2 1 9728 10127 10825 XOR 2 1 9997 10825 10826 XOR 2 1 10126 10826 10827 XOR 2 1 10425 10827 10828 XOR 2 1 10824 10828 10829 XOR 2 1 10721 10829 10830 XOR 2 1 10185 10703 10831 XOR 2 1 10218 10552 10832 XOR 2 1 10831 10832 10833 XOR 2 1 10194 10821 10834 XOR 2 1 10229 10462 10835 XOR 2 1 10834 10835 10836 XOR 2 1 10833 10836 10837 AND 2 1 10207 10826 10838 XOR 2 1 10249 10447 10839 XOR 2 1 10838 10839 10840 XOR 2 1 10837 10840 10841 XOR 2 1 9043 10701 10842 XOR 2 1 10533 10842 10843 XOR 2 1 10073 10325 10844 XOR 2 1 10095 10440 10845 XOR 2 1 9526 10845 10846 XOR 2 1 10844 10846 10847 XOR 2 1 10843 10847 10848 AND 2 1 10111 10334 10849 XOR 2 1 10115 10427 10850 XOR 2 1 9731 10850 10851 XOR 2 1 10849 10851 10852 XOR 2 1 10848 10852 10853 XOR 2 1 10841 10853 10854 XOR 2 1 10830 10854 10855 XOR 2 1 10257 10855 10856 XOR 2 1 10559 10856 10857 XOR 2 1 9944 10826 10858 XOR 2 1 9740 10858 10859 XOR 2 1 10850 10859 10860 XOR 2 1 9961 10831 10861 XOR 2 1 10539 10861 10862 XOR 2 1 10860 10862 10863 AND 2 1 9925 10821 10864 XOR 2 1 9660 10864 10865 XOR 2 1 10845 10865 10866 XOR 2 1 10863 10866 10867 XOR 2 1 10468 10867 10868 XOR 2 1 10793 10868 10869 XOR 2 1 10393 10869 10870 XOR 2 1 10711 10782 10871 XOR 2 1 10797 10871 10872 XOR 2 1 10485 10872 10873 XOR 2 1 10870 10873 10874 XOR 2 1 10032 10208 10875 XOR 2 1 10453 10875 10876 XOR 2 1 10380 10570 10877 XOR 2 1 10367 10877 10878 XOR 2 1 10876 10878 10879 AND 2 1 9216 10303 10880 XOR 2 1 10347 10880 10881 XOR 2 1 10879 10881 10882 XOR 2 1 10841 10882 10883 XOR 2 1 10283 10883 10884 XOR 2 1 10536 10884 10885 XOR 2 1 10874 10885 10886 XOR 2 1 10857 10886 10887 XOR 2 1 5412 10887 10888 XOR 2 1 10717 10725 10889 AND 2 1 10715 10889 10890 XOR 2 1 10819 10828 10891 AND 2 1 10578 10639 10892 XOR 2 1 10314 10696 10893 XOR 2 1 10892 10893 10894 XOR 2 1 10891 10894 10895 XOR 2 1 10823 10895 10896 AND 2 1 10819 10896 10897 XOR 2 1 10890 10897 10898 XOR 2 1 10833 10840 10899 AND 2 1 10617 10696 10900 XOR 2 1 10542 10628 10901 XOR 2 1 10900 10901 10902 XOR 2 1 10899 10902 10903 XOR 2 1 10836 10903 10904 AND 2 1 10833 10904 10905 XOR 2 1 10843 10852 10906 AND 2 1 10566 10694 10907 XOR 2 1 10528 10907 10908 XOR 2 1 10906 10908 10909 XOR 2 1 10847 10909 10910 AND 2 1 10843 10910 10911 XOR 2 1 10905 10911 10912 XOR 2 1 10898 10912 10913 XOR 2 1 10634 10913 10914 XOR 2 1 10787 10914 10915 XOR 2 1 10853 10909 10916 AND 2 1 10847 10916 10917 XOR 2 1 10759 10917 10918 XOR 2 1 10036 10838 10919 XOR 2 1 10553 10919 10920 XOR 2 1 10386 10618 10921 XOR 2 1 10465 10921 10922 XOR 2 1 10920 10922 10923 AND 2 1 9957 10186 10924 XOR 2 1 10448 10924 10925 XOR 2 1 10923 10925 10926 XOR 2 1 10920 10925 10927 AND 2 1 10015 10834 10928 XOR 2 1 10548 10928 10929 XOR 2 1 10927 10929 10930 XOR 2 1 10926 10930 10931 AND 2 1 10931 10922 10932 XOR 2 1 10739 10932 10933 XOR 2 1 10918 10933 10934 XOR 2 1 10674 10934 10935 XOR 2 1 10122 10607 10936 AND 2 1 10108 10936 10937 XOR 2 1 10180 10505 10938 AND 2 1 10163 10938 10939 XOR 2 1 10937 10939 10940 XOR 2 1 10547 10732 10941 XOR 2 1 9972 10941 10942 XOR 2 1 10619 10942 10943 XOR 2 1 10450 10943 10944 AND 2 1 10455 10944 10945 XOR 2 1 10468 10945 10946 AND 2 1 10946 10943 10947 XOR 2 1 10478 10900 10948 XOR 2 1 10779 10948 10949 XOR 2 1 10860 10949 10950 AND 2 1 10862 10950 10951 XOR 2 1 10867 10951 10952 AND 2 1 10952 10949 10953 XOR 2 1 10947 10953 10954 XOR 2 1 10940 10954 10955 XOR 2 1 10745 10955 10956 XOR 2 1 10935 10956 10957 XOR 2 1 10876 10881 10958 AND 2 1 10002 10195 10959 XOR 2 1 10941 10959 10960 XOR 2 1 10958 10960 10961 XOR 2 1 10878 10961 10962 AND 2 1 10876 10962 10963 XOR 2 1 10905 10963 10964 XOR 2 1 10645 10964 10965 XOR 2 1 10775 10965 10966 XOR 2 1 10957 10966 10967 XOR 2 1 10915 10967 10968 XOR 2 1 5529 10968 10969 XOR 2 1 10888 10969 10970 AND 2 1 10725 10895 10971 XOR 2 1 10903 10909 10972 XOR 2 1 10971 10972 10973 XOR 2 1 10632 10973 10974 XOR 2 1 10813 10974 10975 XOR 2 1 10757 10853 10976 XOR 2 1 10737 10926 10977 XOR 2 1 10976 10977 10978 XOR 2 1 10337 10978 10979 XOR 2 1 10945 10951 10980 XOR 2 1 10181 10980 10981 XOR 2 1 10743 10981 10982 XOR 2 1 10979 10982 10983 XOR 2 1 10903 10961 10984 XOR 2 1 10796 10984 10985 XOR 2 1 10773 10985 10986 XOR 2 1 10983 10986 10987 XOR 2 1 10975 10987 10988 XOR 2 1 5417 10988 10989 XOR 2 1 10970 10989 10990 XOR 2 1 10556 10707 10991 XOR 2 1 10338 10991 10992 XOR 2 1 10043 10992 10993 XOR 2 1 10743 10829 10994 XOR 2 1 10976 10994 10995 XOR 2 1 10282 10995 10996 XOR 2 1 10993 10996 10997 XOR 2 1 10773 10867 10998 XOR 2 1 10518 10998 10999 XOR 2 1 10409 10999 11000 XOR 2 1 10657 10686 11001 XOR 2 1 10711 10725 11002 XOR 2 1 11001 11002 11003 XOR 2 1 10496 11003 11004 XOR 2 1 11000 11004 11005 XOR 2 1 10282 10737 11006 XOR 2 1 10854 11006 11007 XOR 2 1 10301 11007 11008 XOR 2 1 11005 11008 11009 XOR 2 1 10997 11009 11010 XOR 2 1 4992 11010 11011 XOR 2 1 10699 10711 11012 AND 2 1 10691 11012 11013 XOR 2 1 10784 11013 11014 XOR 2 1 10660 11014 11015 XOR 2 1 10598 11015 11016 XOR 2 1 10393 10741 11017 AND 2 1 10373 11017 11018 XOR 2 1 10897 11018 11019 XOR 2 1 10424 10755 11020 AND 2 1 10413 11020 11021 XOR 2 1 10911 11021 11022 XOR 2 1 11019 11022 11023 XOR 2 1 10644 11023 11024 XOR 2 1 11016 11024 11025 XOR 2 1 10352 10849 11026 XOR 2 1 10704 11026 11027 XOR 1 1 11027 11028 INV 2 1 10405 10778 11029 XOR 2 1 10864 11029 11030 XOR 2 1 11028 11030 11031 AND 2 1 10396 10538 11032 XOR 2 1 10858 11032 11033 XOR 2 1 11031 11033 11034 XOR 2 1 11028 11033 11035 AND 2 1 10665 10844 11036 XOR 2 1 10697 11036 11037 XOR 2 1 11035 11037 11038 XOR 1 1 11038 11039 INV 2 1 11034 11039 11040 AND 2 1 11040 11030 11041 XOR 2 1 10932 11041 11042 XOR 2 1 10766 11042 11043 XOR 2 1 10688 11043 11044 XOR 2 1 10693 10892 11045 XOR 2 1 10654 11045 11046 XOR 2 1 10507 11046 11047 AND 2 1 10512 11047 11048 XOR 2 1 10517 11048 11049 AND 2 1 11049 11046 11050 XOR 2 1 10939 11050 11051 XOR 2 1 10536 10773 11052 AND 2 1 10530 11052 11053 XOR 2 1 10953 11053 11054 XOR 2 1 11051 11054 11055 XOR 2 1 10751 11055 11056 XOR 2 1 11044 11056 11057 XOR 2 1 10370 10735 11058 AND 2 1 10349 11058 11059 XOR 2 1 10644 11059 11060 XOR 2 1 10912 11060 11061 XOR 2 1 10652 11061 11062 XOR 2 1 11057 11062 11063 XOR 2 1 11025 11063 11064 XOR 2 1 4996 11064 11065 XOR 2 1 11011 11065 11066 AND 2 1 10393 10895 11067 XOR 2 1 10424 10909 11068 XOR 2 1 11067 11068 11069 XOR 2 1 10642 11069 11070 XOR 2 1 10873 11070 11071 XOR 2 1 10926 11034 11072 XOR 2 1 10806 11072 11073 XOR 2 1 10682 11073 11074 XOR 2 1 10180 11048 11075 XOR 2 1 10536 10951 11076 XOR 2 1 11075 11076 11077 XOR 2 1 10749 11077 11078 XOR 2 1 11074 11078 11079 XOR 2 1 10370 10642 11080 XOR 2 1 10972 11080 11081 XOR 2 1 10650 11081 11082 XOR 2 1 11079 11082 11083 XOR 2 1 11071 11083 11084 XOR 2 1 5006 11084 11085 XOR 2 1 11066 11085 11086 XOR 2 1 10990 11086 11087 XOR 2 1 10043 10670 11088 XOR 2 1 10557 11088 11089 XOR 2 1 10122 11089 11090 XOR 2 1 11008 11090 11091 XOR 2 1 9736 10331 11092 XOR 2 1 10355 11092 11093 XOR 2 1 10131 10258 11094 XOR 2 1 10486 11094 11095 XOR 2 1 11093 11095 11096 AND 2 1 9580 10319 11097 XOR 2 1 10733 11097 11098 XOR 2 1 11096 11098 11099 XOR 2 1 10409 11099 11100 XOR 2 1 10868 11100 11101 XOR 2 1 10424 11101 11102 XOR 2 1 10496 10961 11103 XOR 2 1 10871 11103 11104 XOR 2 1 10505 11104 11105 XOR 2 1 11102 11105 11106 XOR 2 1 10340 11106 11107 XOR 2 1 11091 11107 11108 XOR 2 1 5680 11108 11109 XOR 2 1 10496 10668 11110 AND 2 1 10488 11110 11111 XOR 2 1 10598 11111 11112 XOR 2 1 10785 11112 11113 XOR 2 1 10609 11113 11114 XOR 2 1 11062 11114 11115 XOR 2 1 10174 10275 11116 XOR 2 1 10489 11116 11117 XOR 2 1 10420 10475 11118 XOR 2 1 10406 11118 11119 XOR 2 1 11117 11119 11120 AND 2 1 9954 10129 11121 XOR 2 1 10397 11121 11122 XOR 2 1 11120 11122 11123 XOR 2 1 11117 11122 11124 AND 2 1 10155 10265 11125 XOR 2 1 10666 11125 11126 XOR 2 1 11124 11126 11127 XOR 2 1 11123 11127 11128 AND 2 1 11128 11119 11129 XOR 2 1 10688 11129 11130 XOR 2 1 10933 11130 11131 XOR 2 1 10713 11131 11132 XOR 2 1 10501 10636 11133 XOR 2 1 10493 11133 11134 XOR 2 1 11093 11134 11135 AND 2 1 11095 11135 11136 XOR 2 1 11099 11136 11137 AND 2 1 11137 11134 11138 XOR 2 1 10751 11138 11139 XOR 2 1 10954 11139 11140 XOR 2 1 10759 11140 11141 XOR 2 1 11132 11141 11142 XOR 2 1 10662 11142 11143 XOR 2 1 11115 11143 11144 XOR 2 1 5734 11144 11145 XOR 2 1 11109 11145 11146 AND 2 1 10497 10811 11147 XOR 2 1 10607 11147 11148 XOR 2 1 11082 11148 11149 XOR 2 1 10682 11123 11150 XOR 2 1 10977 11150 11151 XOR 2 1 10707 11151 11152 XOR 2 1 10749 11136 11153 XOR 2 1 10980 11153 11154 XOR 2 1 10757 11154 11155 XOR 2 1 11152 11155 11156 XOR 2 1 10799 11156 11157 XOR 2 1 11149 11157 11158 XOR 2 1 5684 11158 11159 XOR 2 1 11146 11159 11160 XOR 2 1 10670 10882 11161 XOR 2 1 10991 11161 11162 XOR 2 1 10180 11162 11163 XOR 2 1 10979 11163 11164 XOR 2 1 10622 11099 11165 XOR 2 1 10998 11165 11166 XOR 2 1 10443 11166 11167 XOR 2 1 10984 11002 11168 XOR 2 1 10517 11168 11169 XOR 2 1 11167 11169 11170 XOR 2 1 10801 11006 11171 XOR 2 1 10556 11171 11172 XOR 2 1 11170 11172 11173 XOR 2 1 11164 11173 11174 XOR 2 1 4573 11174 11175 XOR 2 1 10963 11111 11176 XOR 2 1 11014 11176 11177 XOR 2 1 10611 11177 11178 XOR 2 1 10922 10930 11179 AND 2 1 10920 11179 11180 XOR 2 1 11059 11180 11181 XOR 2 1 11022 11181 11182 XOR 2 1 10659 11182 11183 XOR 2 1 11178 11183 11184 XOR 2 1 9752 10581 11185 AND 2 1 9726 11185 11186 XOR 2 1 11129 11186 11187 XOR 2 1 11042 11187 11188 XOR 2 1 10727 11188 11189 XOR 2 1 10214 10622 11190 AND 2 1 10203 11190 11191 XOR 2 1 11138 11191 11192 XOR 2 1 11054 11192 11193 XOR 2 1 10765 11193 11194 XOR 2 1 11189 11194 11195 XOR 2 1 10678 10686 11196 AND 2 1 10676 11196 11197 XOR 2 1 10659 11197 11198 XOR 2 1 11060 11198 11199 XOR 2 1 10784 11199 11200 XOR 2 1 11195 11200 11201 XOR 2 1 11184 11201 11202 XOR 2 1 4581 11202 11203 XOR 2 1 11175 11203 11204 AND 2 1 10370 10930 11205 XOR 2 1 11068 11205 11206 XOR 2 1 10657 11206 11207 XOR 2 1 11105 11207 11208 XOR 2 1 9752 11123 11209 XOR 2 1 11072 11209 11210 XOR 2 1 10721 11210 11211 XOR 2 1 10214 11136 11212 XOR 2 1 11076 11212 11213 XOR 2 1 10763 11213 11214 XOR 2 1 11211 11214 11215 XOR 2 1 11001 11080 11216 XOR 2 1 10782 11216 11217 XOR 2 1 11215 11217 11218 XOR 2 1 11208 11218 11219 XOR 2 1 4598 11219 11220 XOR 2 1 11204 11220 11221 XOR 2 1 11160 11221 11222 XOR 2 1 11087 11222 11223 XOR 2 1 10817 11223 11224 XOR 2 1 10994 11209 11225 XOR 2 1 10841 11225 11226 XOR 2 1 10340 11226 11227 XOR 2 1 10520 11217 11228 XOR 2 1 10856 11228 11229 XOR 2 1 11227 11229 11230 XOR 2 1 4970 11230 11231 XOR 2 1 11119 11127 11232 AND 2 1 11117 11232 11233 XOR 2 1 10583 11233 11234 XOR 2 1 11019 11234 11235 XOR 2 1 10905 11235 11236 XOR 2 1 10662 11236 11237 XOR 2 1 10043 10485 11238 AND 2 1 10023 11238 11239 XOR 2 1 10672 11239 11240 XOR 2 1 11051 11240 11241 XOR 2 1 10947 11241 11242 XOR 2 1 10768 11242 11243 XOR 2 1 10914 11243 11244 XOR 2 1 11237 11244 11245 XOR 2 1 5633 11245 11246 XOR 2 1 11231 11246 11247 AND 2 1 10581 11127 11248 XOR 2 1 11067 11248 11249 XOR 2 1 10903 11249 11250 XOR 2 1 10799 11250 11251 XOR 2 1 11075 11088 11252 XOR 2 1 10945 11252 11253 XOR 2 1 10808 11253 11254 XOR 2 1 10974 11254 11255 XOR 2 1 11251 11255 11256 XOR 2 1 4974 11256 11257 XOR 2 1 11247 11257 11258 XOR 2 1 9947 10763 11259 XOR 2 1 10122 11034 11260 XOR 2 1 11259 11260 11261 XOR 2 1 11123 11261 11262 XOR 2 1 10856 11262 11263 XOR 2 1 11071 11211 11264 XOR 2 1 11263 11264 11265 XOR 2 1 5198 11265 11266 XOR 2 1 10443 10761 11267 AND 2 1 10429 11267 11268 XOR 2 1 10596 11268 11269 XOR 2 1 11030 11039 11270 AND 2 1 11028 11270 11271 XOR 2 1 10609 11271 11272 XOR 2 1 11269 11272 11273 XOR 2 1 11233 11273 11274 XOR 2 1 10914 11274 11275 XOR 2 1 10301 10650 11276 AND 2 1 10295 11276 11277 XOR 2 1 10674 11277 11278 XOR 2 1 10556 10782 11279 AND 2 1 10550 11279 11280 XOR 2 1 10713 11280 11281 XOR 2 1 11278 11281 11282 XOR 2 1 11239 11282 11283 XOR 2 1 10956 11283 11284 XOR 2 1 11180 11271 11285 XOR 2 1 11234 11285 11286 XOR 2 1 10890 11286 11287 XOR 2 1 11284 11287 11288 XOR 2 1 11275 11288 11289 XOR 2 1 5202 11289 11290 XOR 2 1 11266 11290 11291 AND 2 1 10443 10594 11292 XOR 2 1 10607 11039 11293 XOR 2 1 11292 11293 11294 XOR 2 1 11127 11294 11295 XOR 2 1 10974 11295 11296 XOR 2 1 10982 10993 11297 XOR 2 1 10930 11039 11298 XOR 2 1 11248 11298 11299 XOR 2 1 10725 11299 11300 XOR 2 1 11297 11300 11301 XOR 2 1 11296 11301 11302 XOR 2 1 5213 11302 11303 XOR 2 1 11291 11303 11304 XOR 2 1 10183 10996 11305 XOR 2 1 10446 11004 11306 XOR 2 1 9752 10945 11307 XOR 2 1 11260 11307 11308 XOR 2 1 10829 11308 11309 XOR 2 1 11306 11309 11310 XOR 2 1 11305 11310 11311 XOR 2 1 5230 11311 11312 XOR 2 1 10614 11024 11313 XOR 2 1 10730 11056 11314 XOR 2 1 10468 10943 11315 AND 2 1 10450 11315 11316 XOR 2 1 10583 11316 11317 XOR 2 1 11272 11317 11318 XOR 2 1 10897 11318 11319 XOR 2 1 11314 11319 11320 XOR 2 1 11313 11320 11321 XOR 2 1 5234 11321 11322 XOR 2 1 11312 11322 11323 AND 2 1 10795 11070 11324 XOR 2 1 10804 11078 11325 XOR 2 1 10468 10581 11326 XOR 2 1 11293 11326 11327 XOR 2 1 10895 11327 11328 XOR 2 1 11325 11328 11329 XOR 2 1 11324 11329 11330 XOR 2 1 5239 11330 11331 XOR 2 1 11323 11331 11332 XOR 2 1 11304 11332 11333 XOR 2 1 11008 11309 11334 XOR 2 1 11208 11226 11335 XOR 2 1 11334 11335 11336 XOR 2 1 5435 11336 11337 XOR 2 1 11062 11319 11338 XOR 2 1 10882 10961 11339 AND 2 1 10878 11339 11340 XOR 2 1 10672 11340 11341 XOR 2 1 11281 11341 11342 XOR 2 1 10939 11342 11343 XOR 2 1 11141 11343 11344 XOR 2 1 11236 11344 11345 XOR 2 1 11338 11345 11346 XOR 2 1 5439 11346 11347 XOR 2 1 11337 11347 11348 AND 2 1 11082 11328 11349 XOR 2 1 11155 11163 11350 XOR 2 1 11250 11350 11351 XOR 2 1 11349 11351 11352 XOR 2 1 5444 11352 11353 XOR 2 1 11348 11353 11354 XOR 2 1 10444 11298 11355 XOR 2 1 10686 11355 11356 XOR 2 1 11169 11356 11357 XOR 2 1 10805 11307 11358 XOR 2 1 10853 11358 11359 XOR 2 1 11357 11359 11360 XOR 2 1 10983 11360 11361 XOR 2 1 5373 11361 11362 XOR 2 1 10867 10949 11363 AND 2 1 10860 11363 11364 XOR 2 1 11316 11364 11365 XOR 2 1 10612 11365 11366 XOR 2 1 11018 11366 11367 XOR 2 1 11183 11367 11368 XOR 2 1 10841 10903 11369 AND 2 1 10836 11369 11370 XOR 2 1 11340 11370 11371 XOR 2 1 10728 11371 11372 XOR 2 1 11050 11372 11373 XOR 2 1 11194 11373 11374 XOR 2 1 10409 10747 11375 AND 2 1 10399 11375 11376 XOR 2 1 11018 11376 11377 XOR 2 1 11317 11377 11378 XOR 2 1 10911 11378 11379 XOR 2 1 11374 11379 11380 XOR 2 1 11368 11380 11381 XOR 2 1 5378 11381 11382 XOR 2 1 11362 11382 11383 AND 2 1 10870 11207 11384 XOR 2 1 10802 10883 11385 XOR 2 1 11048 11385 11386 XOR 2 1 11214 11386 11387 XOR 2 1 10410 11326 11388 XOR 2 1 10909 11388 11389 XOR 2 1 11387 11389 11390 XOR 2 1 11384 11390 11391 XOR 2 1 5384 11391 11392 XOR 2 1 11383 11392 11393 XOR 2 1 11354 11393 11394 XOR 2 1 11333 11394 11395 XOR 2 1 11258 11395 11396 XOR 2 1 11224 11396 11397 XOR 2 1 10686 11127 11398 XOR 2 1 10971 11398 11399 XOR 2 1 10961 11399 11400 XOR 2 1 11100 11292 11401 XOR 2 1 10930 11401 11402 XOR 2 1 11400 11402 11403 XOR 2 1 10982 11403 11404 XOR 2 1 11254 11404 11405 XOR 2 1 5354 11405 11406 XOR 2 1 10257 11048 11407 XOR 2 1 10537 11407 11408 XOR 2 1 11136 11408 11409 XOR 2 1 10830 11150 11410 XOR 2 1 10882 11410 11411 XOR 2 1 11409 11411 11412 XOR 2 1 10870 11412 11413 XOR 2 1 10521 11413 11414 XOR 2 1 5365 11414 11415 XOR 2 1 11406 11415 11416 AND 2 1 11197 11233 11417 XOR 2 1 10898 11417 11418 XOR 2 1 10963 11418 11419 XOR 2 1 11099 11134 11420 AND 2 1 11093 11420 11421 XOR 2 1 11376 11421 11422 XOR 2 1 11269 11422 11423 XOR 2 1 11180 11423 11424 XOR 2 1 11419 11424 11425 XOR 2 1 10956 11425 11426 XOR 2 1 11243 11426 11427 XOR 2 1 5457 11427 11428 XOR 2 1 11416 11428 11429 XOR 2 1 11000 11356 11430 XOR 2 1 11090 11430 11431 XOR 2 1 11297 11431 11432 XOR 2 1 5608 11432 11433 XOR 2 1 11074 11386 11434 XOR 2 1 11148 11434 11435 XOR 2 1 10874 11435 11436 XOR 2 1 5617 11436 11437 XOR 2 1 11433 11437 11438 AND 2 1 11021 11268 11439 XOR 2 1 11285 11439 11440 XOR 2 1 11197 11440 11441 XOR 2 1 10517 11046 11442 AND 2 1 10507 11442 11443 XOR 2 1 10611 11443 11444 XOR 2 1 10775 11364 11445 XOR 2 1 11444 11445 11446 XOR 2 1 11376 11446 11447 XOR 2 1 11441 11447 11448 XOR 2 1 11191 11280 11449 XOR 2 1 11240 11449 11450 XOR 2 1 10937 11450 11451 XOR 2 1 11448 11451 11452 XOR 2 1 11284 11452 11453 XOR 2 1 5623 11453 11454 XOR 2 1 11438 11454 11455 XOR 2 1 10517 10632 11456 XOR 2 1 10810 11456 11457 XOR 2 1 11099 11457 11458 XOR 2 1 11295 11458 11459 XOR 2 1 11163 11459 11460 XOR 2 1 11325 11460 11461 XOR 2 1 4430 11461 11462 XOR 2 1 11105 11263 11463 XOR 2 1 11005 11463 11464 XOR 2 1 4491 11464 11465 XOR 2 1 11462 11465 11466 AND 2 1 10634 11443 11467 XOR 2 1 10776 11467 11468 XOR 2 1 11421 11468 11469 XOR 2 1 11274 11469 11470 XOR 2 1 11343 11470 11471 XOR 2 1 11314 11471 11472 XOR 2 1 5086 11472 11473 XOR 2 1 11466 11473 11474 XOR 2 1 11455 11474 11475 XOR 2 1 11167 11300 11476 XOR 2 1 11253 11476 11477 XOR 2 1 11350 11477 11478 XOR 2 1 389 11478 11479 XOR 2 1 10885 11211 11480 XOR 2 1 10520 11480 11481 XOR 2 1 11106 11481 11482 XOR 2 1 4129 11482 11483 XOR 2 1 11479 11483 11484 AND 2 1 10624 11421 11485 XOR 2 1 11445 11485 11486 XOR 2 1 11268 11486 11487 XOR 2 1 11287 11487 11488 XOR 2 1 11242 11488 11489 XOR 2 1 11344 11489 11490 XOR 2 1 5045 11490 11491 XOR 2 1 11484 11491 11492 XOR 2 1 10813 11328 11493 XOR 2 1 11161 11407 11494 XOR 2 1 10951 11494 11495 XOR 2 1 11493 11495 11496 XOR 2 1 11387 11496 11497 XOR 2 1 4895 11497 11498 XOR 2 1 11103 11456 11499 XOR 2 1 10867 11499 11500 XOR 2 1 11334 11500 11501 XOR 2 1 11170 11501 11502 XOR 2 1 4901 11502 11503 XOR 2 1 11498 11503 11504 AND 2 1 10787 11319 11505 XOR 2 1 10257 10632 11506 AND 2 1 10244 11506 11507 XOR 2 1 11050 11507 11508 XOR 2 1 11341 11508 11509 XOR 2 1 10953 11509 11510 XOR 2 1 11505 11510 11511 XOR 2 1 11374 11511 11512 XOR 2 1 4909 11512 11513 XOR 2 1 11504 11513 11514 XOR 2 1 11492 11514 11515 XOR 2 1 11475 11515 11516 XOR 2 1 11429 11516 11517 XOR 2 1 11172 11253 11518 XOR 2 1 11402 11500 11519 XOR 2 1 10993 11519 11520 XOR 2 1 11518 11520 11521 XOR 2 1 4156 11521 11522 XOR 2 1 11153 11259 11523 XOR 2 1 10926 11523 11524 XOR 2 1 11411 11524 11525 XOR 2 1 10873 11525 11526 XOR 2 1 11228 11526 11527 XOR 2 1 4311 11527 11528 XOR 2 1 11522 11528 11529 AND 2 1 10282 10642 11530 AND 2 1 10273 11530 11531 XOR 2 1 10739 11531 11532 XOR 2 1 10689 11532 11533 XOR 2 1 11280 11533 11534 XOR 2 1 11242 11534 11535 XOR 2 1 11176 11467 11536 XOR 2 1 11364 11536 11537 XOR 2 1 11424 11537 11538 XOR 2 1 11283 11538 11539 XOR 2 1 11535 11539 11540 XOR 2 1 5068 11540 11541 XOR 2 1 11529 11541 11542 XOR 2 1 11011 11085 11543 AND 2 1 10829 10895 11544 AND 2 1 10823 11544 11545 XOR 2 1 10745 11545 11546 XOR 2 1 10918 11546 11547 XOR 2 1 11531 11547 11548 XOR 2 1 11283 11548 11549 XOR 2 1 10890 11013 11550 XOR 2 1 11198 11550 11551 XOR 2 1 11111 11551 11552 XOR 2 1 11447 11552 11553 XOR 2 1 10917 11370 11554 XOR 2 1 11532 11554 11555 XOR 2 1 11277 11555 11556 XOR 2 1 11553 11556 11557 XOR 2 1 11549 11557 11558 XOR 2 1 5305 11558 11559 XOR 2 1 11543 11559 11560 XOR 2 1 11400 11458 11561 XOR 2 1 10979 11561 11562 XOR 2 1 10809 11562 11563 XOR 2 1 4691 11563 11564 XOR 2 1 11262 11409 11565 XOR 2 1 11207 11565 11566 XOR 2 1 11306 11566 11567 XOR 2 1 4708 11567 11568 XOR 2 1 11564 11568 11569 AND 2 1 11419 11469 11570 XOR 2 1 10935 11570 11571 XOR 2 1 10769 11571 11572 XOR 2 1 5140 11572 11573 XOR 2 1 11569 11573 11574 XOR 2 1 11560 11574 11575 XOR 2 1 11175 11220 11576 AND 2 1 10935 11343 11577 XOR 2 1 10964 11550 11578 XOR 2 1 11443 11578 11579 XOR 2 1 11487 11579 11580 XOR 2 1 11534 11580 11581 XOR 2 1 11577 11581 11582 XOR 2 1 5103 11582 11583 XOR 2 1 11576 11583 11584 XOR 2 1 10975 11152 11585 XOR 2 1 11434 11585 11586 XOR 2 1 5014 11586 11587 XOR 2 1 10559 11309 11588 XOR 2 1 11205 11398 11589 XOR 2 1 10711 11589 11590 XOR 2 1 11588 11590 11591 XOR 2 1 11357 11591 11592 XOR 2 1 5032 11592 11593 XOR 2 1 11587 11593 11594 AND 2 1 11044 11373 11595 XOR 2 1 10915 11132 11596 XOR 2 1 11595 11596 11597 XOR 2 1 5314 11597 11598 XOR 2 1 11594 11598 11599 XOR 2 1 11584 11599 11600 XOR 2 1 11575 11600 11601 XOR 2 1 11542 11601 11602 XOR 2 1 11517 11602 11603 XOR 2 1 11149 11411 11604 XOR 2 1 11480 11604 11605 XOR 2 1 4942 11605 11606 XOR 2 1 10966 11287 11607 XOR 2 1 10947 11186 11608 XOR 2 1 10752 11608 11609 XOR 2 1 10917 11609 11610 XOR 2 1 11451 11610 11611 XOR 2 1 11419 11611 11612 XOR 2 1 11607 11612 11613 XOR 2 1 5161 11613 11614 XOR 2 1 11606 11614 11615 AND 2 1 10986 11300 11616 XOR 2 1 11090 11359 11617 XOR 2 1 11400 11617 11618 XOR 2 1 11616 11618 11619 XOR 2 1 4947 11619 11620 XOR 2 1 11615 11620 11621 XOR 2 1 11354 11621 11622 XOR 2 1 11172 11359 11623 XOR 2 1 11500 11590 11624 XOR 2 1 10996 11624 11625 XOR 2 1 11623 11625 11626 XOR 2 1 4610 11626 11627 XOR 2 1 11200 11379 11628 XOR 2 1 9947 10594 11629 AND 2 1 9927 11629 11630 XOR 2 1 10765 11630 11631 XOR 2 1 11139 11631 11632 XOR 2 1 10932 11632 11633 XOR 2 1 11510 11633 11634 XOR 2 1 11024 11634 11635 XOR 2 1 11628 11635 11636 XOR 2 1 4619 11636 11637 XOR 2 1 11627 11637 11638 AND 2 1 11217 11389 11639 XOR 2 1 11495 11524 11640 XOR 2 1 11070 11640 11641 XOR 2 1 11639 11641 11642 XOR 2 1 4645 11642 11643 XOR 2 1 11638 11643 11644 XOR 2 1 11258 11644 11645 XOR 2 1 11622 11645 11646 XOR 2 1 10990 11646 11647 XOR 2 1 11603 11647 11648 XOR 2 1 11397 11648 11649 XOR 2 1 10562 10816 11650 AND 2 1 11239 11630 11651 XOR 2 1 10940 11651 11652 XOR 2 1 11186 11652 11653 XOR 2 1 11507 11531 11654 XOR 2 1 11278 11654 11655 XOR 2 1 11191 11655 11656 XOR 2 1 11653 11656 11657 XOR 2 1 11377 11439 11658 XOR 2 1 11059 11658 11659 XOR 2 1 11112 11444 11660 XOR 2 1 11316 11660 11661 XOR 2 1 11659 11661 11662 XOR 2 1 11053 11277 11663 XOR 2 1 11449 11663 11664 XOR 2 1 11630 11664 11665 XOR 2 1 11662 11665 11666 XOR 2 1 11657 11666 11667 XOR 2 1 4881 11667 11668 XOR 2 1 11650 11668 11669 XOR 2 1 10790 11669 11670 AND 2 1 10562 11670 11671 XOR 2 1 10888 10989 11672 AND 2 1 10727 11545 11673 XOR 2 1 11554 11673 11674 XOR 2 1 11507 11674 11675 XOR 2 1 11665 11675 11676 XOR 2 1 11016 11367 11677 XOR 2 1 11371 11654 11678 XOR 2 1 11053 11678 11679 XOR 2 1 11677 11679 11680 XOR 2 1 11676 11680 11681 XOR 2 1 5422 11681 11682 XOR 2 1 11672 11682 11683 XOR 2 1 10969 11683 11684 AND 2 1 10888 11684 11685 XOR 2 1 11065 11560 11686 AND 2 1 11011 11686 11687 XOR 2 1 11685 11687 11688 XOR 2 1 11109 11159 11689 AND 2 1 11451 11556 11690 XOR 2 1 11365 11422 11691 XOR 2 1 11021 11691 11692 XOR 2 1 11178 11692 11693 XOR 2 1 11656 11693 11694 XOR 2 1 11690 11694 11695 XOR 2 1 5689 11695 11696 XOR 2 1 11689 11696 11697 XOR 2 1 11145 11697 11698 AND 2 1 11109 11698 11699 XOR 2 1 11203 11584 11700 AND 2 1 11175 11700 11701 XOR 2 1 11699 11701 11702 XOR 2 1 11688 11702 11703 XOR 2 1 11671 11703 11704 XOR 2 1 11231 11257 11705 AND 2 1 11187 11546 11706 XOR 2 1 11370 11706 11707 XOR 2 1 11656 11707 11708 XOR 2 1 11200 11661 11709 XOR 2 1 11675 11709 11710 XOR 2 1 11708 11710 11711 XOR 2 1 4982 11711 11712 XOR 2 1 11705 11712 11713 XOR 2 1 11246 11713 11714 AND 2 1 11231 11714 11715 XOR 2 1 11266 11303 11716 AND 2 1 10937 11041 11717 XOR 2 1 11631 11717 11718 XOR 2 1 11129 11718 11719 XOR 2 1 11675 11719 11720 XOR 2 1 11025 11189 11721 XOR 2 1 11720 11721 11722 XOR 2 1 5223 11722 11723 XOR 2 1 11716 11723 11724 XOR 2 1 11290 11724 11725 AND 2 1 11266 11725 11726 XOR 2 1 11312 11331 11727 AND 2 1 11548 11653 11728 XOR 2 1 11552 11659 11729 XOR 2 1 11608 11717 11730 XOR 2 1 11545 11730 11731 XOR 2 1 11729 11731 11732 XOR 2 1 11728 11732 11733 XOR 2 1 5245 11733 11734 XOR 2 1 11727 11734 11735 XOR 2 1 11322 11735 11736 AND 2 1 11312 11736 11737 XOR 2 1 11726 11737 11738 XOR 2 1 11337 11353 11739 AND 2 1 11556 11731 11740 XOR 2 1 11184 11707 11741 XOR 2 1 11740 11741 11742 XOR 2 1 5542 11742 11743 XOR 2 1 11739 11743 11744 XOR 2 1 11347 11744 11745 AND 2 1 11337 11745 11746 XOR 2 1 11362 11392 11747 AND 2 1 11441 11579 11748 XOR 2 1 11610 11748 11749 XOR 2 1 10957 11749 11750 XOR 2 1 5467 11750 11751 XOR 2 1 11747 11751 11752 XOR 2 1 11382 11752 11753 AND 2 1 11362 11753 11754 XOR 2 1 11746 11754 11755 XOR 2 1 11738 11755 11756 XOR 2 1 11715 11756 11757 XOR 2 1 11704 11757 11758 XOR 2 1 11508 11663 11759 XOR 2 1 11138 11759 11760 XOR 2 1 11719 11760 11761 XOR 2 1 11183 11761 11762 XOR 2 1 11729 11762 11763 XOR 2 1 4696 11763 11764 XOR 2 1 11564 11764 11765 AND 2 1 11568 11765 11766 XOR 2 1 11574 11766 11767 AND 2 1 11767 11764 11768 XOR 2 1 11393 11752 11769 AND 2 1 11382 11769 11770 XOR 2 1 11155 11296 11771 XOR 2 1 11079 11771 11772 XOR 2 1 5390 11772 11773 XOR 2 1 11676 11692 11774 XOR 2 1 11448 11774 11775 XOR 2 1 5394 11775 11776 XOR 2 1 11773 11776 11777 AND 2 1 10857 11102 11778 XOR 2 1 11430 11778 11779 XOR 2 1 5401 11779 11780 XOR 2 1 11777 11780 11781 XOR 2 1 11773 11780 11782 AND 2 1 11141 11275 11783 XOR 2 1 11057 11783 11784 XOR 2 1 5476 11784 11785 XOR 2 1 11782 11785 11786 XOR 2 1 11781 11786 11787 AND 2 1 11787 11776 11788 XOR 2 1 11770 11788 11789 XOR 2 1 10808 11616 11790 XOR 2 1 11156 11790 11791 XOR 2 1 4659 11791 11792 XOR 2 1 11181 11417 11793 XOR 2 1 11013 11793 11794 XOR 2 1 11692 11794 11795 XOR 2 1 11192 11651 11796 XOR 2 1 11041 11796 11797 XOR 2 1 11679 11797 11798 XOR 2 1 11659 11798 11799 XOR 2 1 11795 11799 11800 XOR 2 1 4668 11800 11801 XOR 2 1 11792 11801 11802 AND 2 1 11102 11590 11803 XOR 2 1 10044 11212 11804 XOR 2 1 11034 11804 11805 XOR 2 1 10885 11805 11806 XOR 2 1 10446 11806 11807 XOR 2 1 11803 11807 11808 XOR 2 1 4680 11808 11809 XOR 2 1 11802 11809 11810 XOR 2 1 11792 11809 11811 AND 2 1 10768 11607 11812 XOR 2 1 11142 11812 11813 XOR 2 1 5127 11813 11814 XOR 2 1 11811 11814 11815 XOR 2 1 11810 11815 11816 AND 2 1 11816 11801 11817 XOR 2 1 11349 11524 11818 XOR 2 1 11215 11818 11819 XOR 2 1 4761 11819 11820 XOR 2 1 11424 11690 11821 XOR 2 1 11488 11821 11822 XOR 2 1 5255 11822 11823 XOR 2 1 11820 11823 11824 AND 2 1 11091 11402 11825 XOR 2 1 11476 11825 11826 XOR 2 1 4771 11826 11827 XOR 2 1 11824 11827 11828 XOR 2 1 11820 11827 11829 AND 2 1 11338 11633 11830 XOR 2 1 11195 11830 11831 XOR 2 1 4782 11831 11832 XOR 2 1 11829 11832 11833 XOR 2 1 11828 11833 11834 AND 2 1 11834 11823 11835 XOR 2 1 11817 11835 11836 XOR 2 1 11789 11836 11837 XOR 2 1 11768 11837 11838 XOR 2 1 11130 11673 11839 XOR 2 1 11340 11839 11840 XOR 2 1 11760 11840 11841 XOR 2 1 11367 11841 11842 XOR 2 1 11662 11842 11843 XOR 2 1 5358 11843 11844 XOR 2 1 11406 11844 11845 AND 2 1 11415 11845 11846 XOR 2 1 11429 11846 11847 AND 2 1 11847 11844 11848 XOR 2 1 11114 11595 11849 XOR 2 1 11677 11849 11850 XOR 2 1 5612 11850 11851 XOR 2 1 11433 11851 11852 AND 2 1 11437 11852 11853 XOR 2 1 11455 11853 11854 AND 2 1 11854 11851 11855 XOR 2 1 11178 11720 11856 XOR 2 1 11553 11856 11857 XOR 2 1 4453 11857 11858 XOR 2 1 11462 11858 11859 AND 2 1 11465 11859 11860 XOR 2 1 11474 11860 11861 AND 2 1 11861 11858 11862 XOR 2 1 11855 11862 11863 XOR 2 1 11189 11679 11864 XOR 2 1 11661 11864 11865 XOR 2 1 11693 11865 11866 XOR 2 1 3899 11866 11867 XOR 2 1 11479 11867 11868 AND 2 1 11483 11868 11869 XOR 2 1 11492 11869 11870 AND 2 1 11870 11867 11871 XOR 2 1 11537 11740 11872 XOR 2 1 11580 11872 11873 XOR 2 1 5520 11873 11874 XOR 2 1 11498 11874 11875 AND 2 1 11503 11875 11876 XOR 2 1 11514 11876 11877 AND 2 1 11877 11874 11878 XOR 2 1 11871 11878 11879 XOR 2 1 11863 11879 11880 XOR 2 1 11848 11880 11881 XOR 2 1 11838 11881 11882 XOR 2 1 11606 11620 11883 AND 2 1 11115 11840 11884 XOR 2 1 11864 11884 11885 XOR 2 1 4953 11885 11886 XOR 2 1 11883 11886 11887 XOR 2 1 11614 11887 11888 AND 2 1 11606 11888 11889 XOR 2 1 11746 11889 11890 XOR 2 1 11627 11643 11891 AND 2 1 11534 11610 11892 XOR 2 1 11537 11794 11893 XOR 2 1 11548 11893 11894 XOR 2 1 11892 11894 11895 XOR 2 1 5115 11895 11896 XOR 2 1 11891 11896 11897 XOR 2 1 11637 11897 11898 AND 2 1 11627 11898 11899 XOR 2 1 11715 11899 11900 XOR 2 1 11890 11900 11901 XOR 2 1 11685 11901 11902 XOR 2 1 11882 11902 11903 XOR 2 1 11758 11903 11904 XOR 2 1 11649 11904 11905 AND 2 1 11560 11683 11906 XOR 2 1 11584 11697 11907 XOR 2 1 11906 11907 11908 XOR 2 1 11669 11908 11909 XOR 2 1 11724 11735 11910 XOR 2 1 11744 11752 11911 XOR 2 1 11910 11911 11912 XOR 2 1 11713 11912 11913 XOR 2 1 11909 11913 11914 XOR 2 1 11393 11781 11915 XOR 2 1 11810 11828 11916 XOR 2 1 11915 11916 11917 XOR 2 1 11766 11917 11918 XOR 2 1 11853 11860 11919 XOR 2 1 11869 11876 11920 XOR 2 1 11919 11920 11921 XOR 2 1 11846 11921 11922 XOR 2 1 11918 11922 11923 XOR 2 1 11744 11887 11924 XOR 2 1 11713 11897 11925 XOR 2 1 11924 11925 11926 XOR 2 1 11683 11926 11927 XOR 2 1 11923 11927 11928 XOR 2 1 11914 11928 11929 XOR 2 1 11905 11929 11930 XOR 2 1 11384 11805 11931 XOR 2 1 11588 11931 11932 XOR 2 1 5490 11932 11933 XOR 2 1 10599 11485 11934 XOR 2 1 11271 11934 11935 XOR 2 1 11577 11935 11936 XOR 2 1 11505 11936 11937 XOR 2 1 5494 11937 11938 XOR 2 1 11933 11938 11939 AND 2 1 10792 11165 11940 XOR 2 1 11940 11039 11941 XOR 2 1 11164 11941 11942 XOR 2 1 11493 11942 11943 XOR 2 1 5499 11943 11944 XOR 2 1 11939 11944 11945 XOR 2 1 11828 11945 11946 XOR 2 1 11226 11805 11947 XOR 2 1 11262 11639 11948 XOR 2 1 11947 11948 11949 XOR 2 1 5174 11949 11950 XOR 2 1 11236 11935 11951 XOR 2 1 11274 11535 11952 XOR 2 1 11951 11952 11953 XOR 2 1 5178 11953 11954 XOR 1 1 11954 11955 INV 2 1 11950 11955 11956 AND 2 1 11250 11941 11957 XOR 2 1 11295 11518 11958 XOR 2 1 11957 11958 11959 XOR 2 1 5184 11959 11960 XOR 1 1 11960 11961 INV 2 1 11956 11961 11962 XOR 2 1 10183 11803 11963 XOR 2 1 11617 11963 11964 XOR 2 1 5709 11964 11965 XOR 2 1 11114 11379 11966 XOR 2 1 11132 11510 11967 XOR 2 1 10614 11967 11968 XOR 2 1 11966 11968 11969 XOR 2 1 5713 11969 11970 XOR 2 1 11965 11970 11971 AND 2 1 11148 11389 11972 XOR 2 1 11152 11495 11973 XOR 2 1 10795 11973 11974 XOR 2 1 11972 11974 11975 XOR 2 1 5718 11975 11976 XOR 2 1 11971 11976 11977 XOR 2 1 11962 11977 11978 XOR 2 1 11946 11978 11979 XOR 2 1 11304 11979 11980 XOR 2 1 11647 11980 11981 XOR 2 1 10986 11941 11982 XOR 2 1 10804 11982 11983 XOR 2 1 11973 11983 11984 XOR 2 1 4332 11984 11985 XOR 2 1 11004 11947 11986 XOR 2 1 11624 11986 11987 XOR 2 1 4408 11987 11988 XOR 2 1 11985 11988 11989 AND 2 1 10966 11935 11990 XOR 2 1 10730 11990 11991 XOR 2 1 11967 11991 11992 XOR 2 1 4924 11992 11993 XOR 2 1 11989 11993 11994 XOR 2 1 11542 11994 11995 XOR 2 1 11907 11995 11996 XOR 2 1 11455 11996 11997 XOR 2 1 11815 11897 11998 XOR 2 1 11911 11998 11999 XOR 2 1 11560 11999 12000 XOR 2 1 11997 12000 12001 XOR 2 1 11074 11251 12002 XOR 2 1 11525 12002 12003 XOR 2 1 4715 12003 12004 XOR 2 1 11441 11657 12005 XOR 2 1 11425 12005 12006 XOR 2 1 4724 12006 12007 XOR 2 1 12004 12007 12008 AND 2 1 10341 11356 12009 XOR 2 1 11403 12009 12010 XOR 2 1 4746 12010 12011 XOR 2 1 12008 12011 12012 XOR 2 1 11962 12012 12013 XOR 2 1 11333 12013 12014 XOR 2 1 11621 12014 12015 XOR 2 1 12001 12015 12016 XOR 2 1 11981 12016 12017 XOR 2 1 11823 11833 12018 AND 2 1 11820 12018 12019 XOR 2 1 11933 11944 12020 AND 2 1 11665 11731 12021 XOR 2 1 11368 11797 12022 XOR 2 1 12021 12022 12023 XOR 2 1 5505 12023 12024 XOR 2 1 12020 12024 12025 XOR 2 1 11938 12025 12026 AND 2 1 11933 12026 12027 XOR 2 1 12019 12027 12028 XOR 2 1 11950 11961 12029 AND 2 1 11707 11797 12030 XOR 2 1 11628 11719 12031 XOR 2 1 12030 12031 12032 XOR 2 1 5190 12032 12033 XOR 2 1 12029 12033 12034 XOR 2 1 12034 11955 12035 AND 2 1 11950 12035 12036 XOR 2 1 11965 11976 12037 AND 2 1 11653 11795 12038 XOR 2 1 11611 12038 12039 XOR 2 1 5724 12039 12040 XOR 2 1 12037 12040 12041 XOR 2 1 11970 12041 12042 AND 2 1 11965 12042 12043 XOR 2 1 12036 12043 12044 XOR 2 1 12028 12044 12045 XOR 2 1 11726 12045 12046 XOR 2 1 11902 12046 12047 XOR 2 1 11977 12041 12048 AND 2 1 11970 12048 12049 XOR 2 1 11871 12049 12050 XOR 2 1 11078 11957 12051 XOR 2 1 11640 12051 12052 XOR 2 1 4796 12052 12053 XOR 2 1 11447 11708 12054 XOR 2 1 11538 12054 12055 XOR 2 1 5266 12055 12056 XOR 2 1 12053 12056 12057 AND 2 1 11000 11227 12058 XOR 2 1 11519 12058 12059 XOR 2 1 4801 12059 12060 XOR 2 1 12057 12060 12061 XOR 2 1 12053 12060 12062 AND 2 1 11056 11951 12063 XOR 2 1 11634 12063 12064 XOR 2 1 4807 12064 12065 XOR 2 1 12062 12065 12066 XOR 2 1 12061 12066 12067 AND 2 1 12067 12056 12068 XOR 2 1 11848 12068 12069 XOR 2 1 12050 12069 12070 XOR 2 1 11770 12070 12071 XOR 2 1 11160 11697 12072 AND 2 1 11145 12072 12073 XOR 2 1 11221 11584 12074 AND 2 1 11203 12074 12075 XOR 2 1 12073 12075 12076 XOR 2 1 11633 11840 12077 XOR 2 1 11016 12077 12078 XOR 2 1 11709 12078 12079 XOR 2 1 4228 12079 12080 XOR 2 1 11522 12080 12081 AND 2 1 11528 12081 12082 XOR 2 1 11542 12082 12083 AND 2 1 12083 12080 12084 XOR 2 1 11552 12030 12085 XOR 2 1 11893 12085 12086 XOR 2 1 4354 12086 12087 XOR 2 1 11985 12087 12088 AND 2 1 11988 12088 12089 XOR 2 1 11994 12089 12090 AND 2 1 12090 12087 12091 XOR 2 1 12084 12091 12092 XOR 2 1 12076 12092 12093 XOR 2 1 11855 12093 12094 XOR 2 1 12071 12094 12095 XOR 2 1 12004 12011 12096 AND 2 1 11044 11237 12097 XOR 2 1 12077 12097 12098 XOR 2 1 5149 12098 12099 XOR 2 1 12096 12099 12100 XOR 2 1 12007 12100 12101 AND 2 1 12004 12101 12102 XOR 2 1 12036 12102 12103 XOR 2 1 11738 12103 12104 XOR 2 1 11889 12104 12105 XOR 2 1 12095 12105 12106 XOR 2 1 12047 12106 12107 XOR 2 1 12017 12107 12108 AND 2 1 11833 12025 12109 XOR 2 1 12034 12041 12110 XOR 2 1 12109 12110 12111 XOR 2 1 11724 12111 12112 XOR 2 1 11927 12112 12113 XOR 2 1 11869 11977 12114 XOR 2 1 11846 12061 12115 XOR 2 1 12114 12115 12116 XOR 2 1 11393 12116 12117 XOR 2 1 12082 12089 12118 XOR 2 1 11222 12118 12119 XOR 2 1 11853 12119 12120 XOR 2 1 12117 12120 12121 XOR 2 1 12034 12100 12122 XOR 2 1 11910 12122 12123 XOR 2 1 11887 12123 12124 XOR 2 1 12121 12124 12125 XOR 2 1 12113 12125 12126 XOR 2 1 12108 12126 12127 XOR 2 1 11644 11810 12128 XOR 2 1 11394 12128 12129 XOR 2 1 11086 12129 12130 XOR 2 1 11853 11945 12131 XOR 2 1 12114 12131 12132 XOR 2 1 11332 12132 12133 XOR 2 1 12130 12133 12134 XOR 2 1 11887 11994 12135 XOR 2 1 11600 12135 12136 XOR 2 1 11474 12136 12137 XOR 2 1 11752 11786 12138 XOR 2 1 11815 11833 12139 XOR 2 1 12138 12139 12140 XOR 2 1 11574 12140 12141 XOR 2 1 12137 12141 12142 XOR 2 1 11332 11846 12143 XOR 2 1 11978 12143 12144 XOR 2 1 11354 12144 12145 XOR 2 1 12142 12145 12146 XOR 2 1 12134 12146 12147 XOR 2 1 11801 11815 12148 AND 2 1 11792 12148 12149 XOR 2 1 11899 12149 12150 XOR 2 1 11755 12150 12151 XOR 2 1 11687 12151 12152 XOR 2 1 11455 11851 12153 AND 2 1 11433 12153 12154 XOR 2 1 12027 12154 12155 XOR 2 1 11492 11867 12156 AND 2 1 11479 12156 12157 XOR 2 1 12043 12157 12158 XOR 2 1 12155 12158 12159 XOR 2 1 11737 12159 12160 XOR 2 1 12152 12160 12161 XOR 2 1 11409 11972 12162 XOR 2 1 11806 12162 12163 XOR 2 1 4825 12163 12164 XOR 2 1 11469 11892 12165 XOR 2 1 11990 12165 12166 XOR 2 1 5274 12166 12167 XOR 2 1 12164 12167 12168 AND 2 1 11458 11623 12169 XOR 2 1 11982 12169 12170 XOR 2 1 4833 12170 12171 XOR 2 1 12168 12171 12172 XOR 2 1 12164 12171 12173 AND 2 1 11760 11966 12174 XOR 2 1 11798 12174 12175 XOR 2 1 4838 12175 12176 XOR 2 1 12173 12176 12177 XOR 2 1 12172 12177 12178 AND 2 1 12178 12167 12179 XOR 2 1 12068 12179 12180 XOR 2 1 11879 12180 12181 XOR 2 1 11788 12181 12182 XOR 2 1 11794 12021 12183 XOR 2 1 11748 12183 12184 XOR 2 1 5020 12184 12185 XOR 2 1 11587 12185 12186 AND 2 1 11593 12186 12187 XOR 2 1 11599 12187 12188 AND 2 1 12188 12185 12189 XOR 2 1 12075 12189 12190 XOR 2 1 11621 11887 12191 AND 2 1 11614 12191 12192 XOR 2 1 12091 12192 12193 XOR 2 1 12190 12193 12194 XOR 2 1 11862 12194 12195 XOR 2 1 12182 12195 12196 XOR 2 1 11429 11844 12197 AND 2 1 11406 12197 12198 XOR 2 1 11737 12198 12199 XOR 2 1 12044 12199 12200 XOR 2 1 11746 12200 12201 XOR 2 1 12196 12201 12202 XOR 2 1 12161 12202 12203 XOR 2 1 12147 12203 12204 AND 2 1 11455 12025 12205 XOR 2 1 11492 12041 12206 XOR 2 1 12205 12206 12207 XOR 2 1 11735 12207 12208 XOR 2 1 12000 12208 12209 XOR 2 1 12061 12172 12210 XOR 2 1 11920 12210 12211 XOR 2 1 11781 12211 12212 XOR 2 1 11221 12187 12213 XOR 2 1 11621 12089 12214 XOR 2 1 12213 12214 12215 XOR 2 1 11860 12215 12216 XOR 2 1 12212 12216 12217 XOR 2 1 11429 11735 12218 XOR 2 1 12110 12218 12219 XOR 2 1 11744 12219 12220 XOR 2 1 12217 12220 12221 XOR 2 1 12209 12221 12222 XOR 2 1 12204 12222 12223 XOR 2 1 12127 12223 12224 XOR 2 1 11086 11766 12225 XOR 2 1 11645 12225 12226 XOR 2 1 11160 12226 12227 XOR 2 1 12145 12227 12228 XOR 2 1 10800 11386 12229 XOR 2 1 11412 12229 12230 XOR 2 1 4506 12230 12231 XOR 2 1 11169 11305 12232 XOR 2 1 11561 12232 12233 XOR 2 1 4552 12233 12234 XOR 2 1 12231 12234 12235 AND 2 1 10663 11373 12236 XOR 2 1 11841 12236 12237 XOR 2 1 4961 12237 12238 XOR 2 1 12235 12238 12239 XOR 2 1 11474 12239 12240 XOR 2 1 11995 12240 12241 XOR 2 1 11492 12241 12242 XOR 2 1 11574 12100 12243 XOR 2 1 11998 12243 12244 XOR 2 1 11584 12244 12245 XOR 2 1 12242 12245 12246 XOR 2 1 11396 12246 12247 XOR 2 1 12228 12247 12248 XOR 2 1 11574 11764 12249 AND 2 1 11564 12249 12250 XOR 2 1 11687 12250 12251 XOR 2 1 11900 12251 12252 XOR 2 1 11699 12252 12253 XOR 2 1 12201 12253 12254 XOR 2 1 11214 11324 12255 XOR 2 1 11565 12255 12256 XOR 2 1 4848 12256 12257 XOR 2 1 11487 11549 12258 XOR 2 1 11470 12258 12259 XOR 2 1 5283 12259 12260 XOR 2 1 12257 12260 12261 AND 2 1 10997 11167 12262 XOR 2 1 11459 12262 12263 XOR 2 1 4853 12263 12264 XOR 2 1 12261 12264 12265 XOR 2 1 12257 12264 12266 AND 2 1 11194 11313 12267 XOR 2 1 11761 12267 12268 XOR 2 1 4859 12268 12269 XOR 2 1 12266 12269 12270 XOR 2 1 12265 12270 12271 AND 2 1 12271 12260 12272 XOR 2 1 11788 12272 12273 XOR 2 1 12069 12273 12274 XOR 2 1 11817 12274 12275 XOR 2 1 11579 11728 12276 XOR 2 1 11570 12276 12277 XOR 2 1 4522 12277 12278 XOR 2 1 12231 12278 12279 AND 2 1 12234 12279 12280 XOR 2 1 12239 12280 12281 AND 2 1 12281 12278 12282 XOR 2 1 11862 12282 12283 XOR 2 1 12092 12283 12284 XOR 2 1 11871 12284 12285 XOR 2 1 12275 12285 12286 XOR 2 1 11757 12286 12287 XOR 2 1 12254 12287 12288 XOR 2 1 12248 12288 12289 AND 2 1 11575 11925 12290 XOR 2 1 11697 12290 12291 XOR 2 1 12220 12291 12292 XOR 2 1 11781 12265 12293 XOR 2 1 12115 12293 12294 XOR 2 1 11810 12294 12295 XOR 2 1 11860 12280 12296 XOR 2 1 12118 12296 12297 XOR 2 1 11869 12297 12298 XOR 2 1 12295 12298 12299 XOR 2 1 11913 12299 12300 XOR 2 1 12292 12300 12301 XOR 2 1 12289 12301 12302 XOR 2 1 11766 12012 12303 XOR 2 1 12128 12303 12304 XOR 2 1 11221 12304 12305 XOR 2 1 12117 12305 12306 XOR 2 1 11713 12239 12307 XOR 2 1 12135 12307 12308 XOR 2 1 11514 12308 12309 XOR 2 1 12122 12139 12310 XOR 2 1 11599 12310 12311 XOR 2 1 12309 12311 12312 XOR 2 1 11915 12143 12313 XOR 2 1 11644 12313 12314 XOR 2 1 12312 12314 12315 XOR 2 1 12306 12315 12316 XOR 2 1 12102 12250 12317 XOR 2 1 12150 12317 12318 XOR 2 1 11701 12318 12319 XOR 2 1 12056 12066 12320 AND 2 1 12053 12320 12321 XOR 2 1 12198 12321 12322 XOR 2 1 12158 12322 12323 XOR 2 1 11754 12323 12324 XOR 2 1 12319 12324 12325 XOR 2 1 10817 11669 12326 AND 2 1 10790 12326 12327 XOR 2 1 12272 12327 12328 XOR 2 1 12180 12328 12329 XOR 2 1 11835 12329 12330 XOR 2 1 11258 11713 12331 AND 2 1 11246 12331 12332 XOR 2 1 12282 12332 12333 XOR 2 1 12193 12333 12334 XOR 2 1 11878 12334 12335 XOR 2 1 12330 12335 12336 XOR 2 1 11776 11786 12337 AND 2 1 11773 12337 12338 XOR 2 1 11754 12338 12339 XOR 2 1 12199 12339 12340 XOR 2 1 11899 12340 12341 XOR 2 1 12336 12341 12342 XOR 2 1 12325 12342 12343 XOR 2 1 12316 12343 12344 AND 2 1 11429 12066 12345 XOR 2 1 12206 12345 12346 XOR 2 1 11752 12346 12347 XOR 2 1 12245 12347 12348 XOR 2 1 10817 12265 12349 XOR 2 1 12210 12349 12350 XOR 2 1 11828 12350 12351 XOR 2 1 11258 12280 12352 XOR 2 1 12214 12352 12353 XOR 2 1 11876 12353 12354 XOR 2 1 12351 12354 12355 XOR 2 1 12138 12218 12356 XOR 2 1 11897 12356 12357 XOR 2 1 12355 12357 12358 XOR 2 1 12348 12358 12359 XOR 2 1 12344 12359 12360 XOR 2 1 12302 12360 12361 XOR 2 1 12224 12361 12362 XOR 2 1 11930 12362 12363 XOR 2 1 12131 12349 12364 XOR 2 1 11962 12364 12365 XOR 2 1 11396 12365 12366 XOR 2 1 11602 12357 12367 XOR 2 1 11980 12367 12368 XOR 2 1 12366 12368 12369 XOR 1 1 12369 12370 INV 2 1 12260 12270 12371 AND 2 1 12257 12371 12372 XOR 2 1 11671 12372 12373 XOR 2 1 12155 12373 12374 XOR 2 1 12036 12374 12375 XOR 2 1 11757 12375 12376 XOR 2 1 11086 11560 12377 AND 2 1 11065 12377 12378 XOR 2 1 11768 12378 12379 XOR 2 1 12190 12379 12380 XOR 2 1 12084 12380 12381 XOR 2 1 11881 12381 12382 XOR 2 1 12046 12382 12383 XOR 2 1 12376 12383 12384 XOR 2 1 12370 12384 12385 AND 2 1 11669 12270 12386 XOR 2 1 12205 12386 12387 XOR 2 1 12034 12387 12388 XOR 2 1 11913 12388 12389 XOR 2 1 12213 12225 12390 XOR 2 1 12082 12390 12391 XOR 2 1 11922 12391 12392 XOR 2 1 12112 12392 12393 XOR 2 1 12389 12393 12394 XOR 1 1 12394 12395 INV 2 1 12385 12395 12396 XOR 2 1 10990 11876 12397 XOR 2 1 11160 12172 12398 XOR 2 1 12397 12398 12399 XOR 2 1 12265 12399 12400 XOR 2 1 11980 12400 12401 XOR 2 1 12209 12351 12402 XOR 2 1 12401 12402 12403 XOR 2 1 11514 11874 12404 AND 2 1 11498 12404 12405 XOR 2 1 11685 12405 12406 XOR 2 1 12167 12177 12407 AND 2 1 12164 12407 12408 XOR 2 1 11699 12408 12409 XOR 2 1 12406 12409 12410 XOR 2 1 12372 12410 12411 XOR 2 1 12046 12411 12412 XOR 2 1 11354 11744 12413 AND 2 1 11347 12413 12414 XOR 2 1 11770 12414 12415 XOR 2 1 11644 11897 12416 AND 2 1 11637 12416 12417 XOR 2 1 11817 12417 12418 XOR 2 1 12415 12418 12419 XOR 2 1 12378 12419 12420 XOR 2 1 12094 12420 12421 XOR 2 1 12321 12408 12422 XOR 2 1 12373 12422 12423 XOR 2 1 12019 12423 12424 XOR 2 1 12421 12424 12425 XOR 2 1 12412 12425 12426 XOR 2 1 12403 12426 12427 AND 2 1 11514 11683 12428 XOR 2 1 11697 12177 12429 XOR 2 1 12428 12429 12430 XOR 2 1 12270 12430 12431 XOR 2 1 12112 12431 12432 XOR 2 1 12120 12130 12433 XOR 2 1 12066 12177 12434 XOR 2 1 12386 12434 12435 XOR 2 1 11833 12435 12436 XOR 2 1 12433 12436 12437 XOR 2 1 12432 12437 12438 XOR 2 1 12427 12438 12439 XOR 2 1 11224 12133 12440 XOR 2 1 11517 12141 12441 XOR 2 1 10817 12082 12442 XOR 2 1 12398 12442 12443 XOR 2 1 11945 12443 12444 XOR 2 1 12441 12444 12445 XOR 2 1 12440 12445 12446 XOR 2 1 11704 12160 12447 XOR 2 1 11838 12195 12448 XOR 2 1 11542 12080 12449 AND 2 1 11522 12449 12450 XOR 2 1 11671 12450 12451 XOR 2 1 12409 12451 12452 XOR 2 1 12027 12452 12453 XOR 2 1 12448 12453 12454 XOR 2 1 12447 12454 12455 XOR 2 1 12446 12455 12456 AND 2 1 11909 12208 12457 XOR 2 1 11918 12216 12458 XOR 2 1 11542 11669 12459 XOR 2 1 12429 12459 12460 XOR 2 1 12025 12460 12461 XOR 2 1 12458 12461 12462 XOR 2 1 12457 12462 12463 XOR 2 1 12456 12463 12464 XOR 2 1 12439 12464 12465 XOR 2 1 12145 12444 12466 XOR 2 1 12348 12365 12467 XOR 2 1 12466 12467 12468 XOR 2 1 12201 12453 12469 XOR 2 1 12012 12100 12470 AND 2 1 12007 12470 12471 XOR 2 1 11768 12471 12472 XOR 2 1 12418 12472 12473 XOR 2 1 12075 12473 12474 XOR 2 1 12285 12474 12475 XOR 2 1 12375 12475 12476 XOR 2 1 12469 12476 12477 XOR 2 1 12468 12477 12478 AND 2 1 12220 12461 12479 XOR 2 1 12298 12305 12480 XOR 2 1 12388 12480 12481 XOR 2 1 12479 12481 12482 XOR 2 1 12478 12482 12483 XOR 2 1 11515 12434 12484 XOR 2 1 11786 12484 12485 XOR 2 1 12311 12485 12486 XOR 2 1 11919 12442 12487 XOR 2 1 11977 12487 12488 XOR 2 1 12486 12488 12489 XOR 2 1 12121 12489 12490 XOR 2 1 11994 12087 12491 AND 2 1 11985 12491 12492 XOR 2 1 12450 12492 12493 XOR 2 1 11702 12493 12494 XOR 2 1 12154 12494 12495 XOR 2 1 12324 12495 12496 XOR 2 1 11962 12034 12497 AND 2 1 12497 11955 12498 XOR 2 1 12471 12498 12499 XOR 2 1 11836 12499 12500 XOR 2 1 12189 12500 12501 XOR 2 1 12335 12501 12502 XOR 2 1 11474 11858 12503 AND 2 1 11462 12503 12504 XOR 2 1 12154 12504 12505 XOR 2 1 12451 12505 12506 XOR 2 1 12043 12506 12507 XOR 2 1 12502 12507 12508 XOR 2 1 12496 12508 12509 XOR 2 1 12490 12509 12510 AND 2 1 11997 12347 12511 XOR 2 1 11916 12013 12512 XOR 2 1 12187 12512 12513 XOR 2 1 12354 12513 12514 XOR 2 1 11475 12459 12515 XOR 2 1 12041 12515 12516 XOR 2 1 12514 12516 12517 XOR 2 1 12511 12517 12518 XOR 2 1 12510 12518 12519 XOR 2 1 12483 12519 12520 XOR 2 1 12465 12520 12521 XOR 2 1 12396 12521 12522 XOR 2 1 12363 12522 12523 XOR 2 1 11786 12270 12524 XOR 2 1 12109 12524 12525 XOR 2 1 12100 12525 12526 XOR 2 1 12240 12428 12527 XOR 2 1 12066 12527 12528 XOR 2 1 12526 12528 12529 XOR 2 1 12120 12529 12530 XOR 2 1 12392 12530 12531 XOR 2 1 11304 12187 12532 XOR 2 1 11622 12532 12533 XOR 2 1 12280 12533 12534 XOR 2 1 11946 12293 12535 XOR 2 1 12012 12535 12536 XOR 2 1 12534 12536 12537 XOR 2 1 11997 12537 12538 XOR 2 1 11603 12538 12539 XOR 2 1 12531 12539 12540 AND 2 1 12338 12372 12541 XOR 2 1 12028 12541 12542 XOR 2 1 12102 12542 12543 XOR 2 1 12239 12278 12544 AND 2 1 12231 12544 12545 XOR 2 1 12504 12545 12546 XOR 2 1 12406 12546 12547 XOR 2 1 12321 12547 12548 XOR 2 1 12543 12548 12549 XOR 2 1 12094 12549 12550 XOR 2 1 12382 12550 12551 XOR 2 1 12540 12551 12552 XOR 2 1 12137 12485 12553 XOR 2 1 12227 12553 12554 XOR 2 1 12433 12554 12555 XOR 2 1 12212 12513 12556 XOR 2 1 12291 12556 12557 XOR 2 1 12001 12557 12558 XOR 2 1 12555 12558 12559 AND 2 1 12157 12405 12560 XOR 2 1 12422 12560 12561 XOR 2 1 12338 12561 12562 XOR 2 1 11599 12185 12563 AND 2 1 11587 12563 12564 XOR 2 1 11701 12564 12565 XOR 2 1 11889 12492 12566 XOR 2 1 12565 12566 12567 XOR 2 1 12504 12567 12568 XOR 2 1 12562 12568 12569 XOR 2 1 12332 12417 12570 XOR 2 1 12379 12570 12571 XOR 2 1 12073 12571 12572 XOR 2 1 12569 12572 12573 XOR 2 1 12421 12573 12574 XOR 2 1 12559 12574 12575 XOR 2 1 11599 11724 12576 XOR 2 1 11924 12576 12577 XOR 2 1 12239 12577 12578 XOR 2 1 12431 12578 12579 XOR 2 1 12305 12579 12580 XOR 2 1 12458 12580 12581 XOR 2 1 12245 12401 12582 XOR 2 1 12142 12582 12583 XOR 2 1 12581 12583 12584 AND 2 1 11726 12564 12585 XOR 2 1 11890 12585 12586 XOR 2 1 12545 12586 12587 XOR 2 1 12411 12587 12588 XOR 2 1 12474 12588 12589 XOR 2 1 12448 12589 12590 XOR 2 1 12584 12590 12591 XOR 2 1 12575 12591 12592 XOR 2 1 12309 12436 12593 XOR 2 1 12391 12593 12594 XOR 2 1 12480 12594 12595 XOR 2 1 12015 12351 12596 XOR 2 1 11602 12596 12597 XOR 2 1 12246 12597 12598 XOR 2 1 12595 12598 12599 AND 2 1 11715 12545 12600 XOR 2 1 12566 12600 12601 XOR 2 1 12405 12601 12602 XOR 2 1 12424 12602 12603 XOR 2 1 12381 12603 12604 XOR 2 1 12475 12604 12605 XOR 2 1 12599 12605 12606 XOR 2 1 11927 12461 12607 XOR 2 1 12303 12532 12608 XOR 2 1 12089 12608 12609 XOR 2 1 12607 12609 12610 XOR 2 1 12514 12610 12611 XOR 2 1 12243 12576 12612 XOR 2 1 11994 12612 12613 XOR 2 1 12466 12613 12614 XOR 2 1 12312 12614 12615 XOR 2 1 12611 12615 12616 AND 2 1 11902 12453 12617 XOR 2 1 11304 11724 12618 AND 2 1 11290 12618 12619 XOR 2 1 12189 12619 12620 XOR 2 1 12472 12620 12621 XOR 2 1 12091 12621 12622 XOR 2 1 12617 12622 12623 XOR 2 1 12502 12623 12624 XOR 2 1 12616 12624 12625 XOR 2 1 12606 12625 12626 XOR 2 1 12592 12626 12627 XOR 2 1 12552 12627 12628 XOR 2 1 12314 12391 12629 XOR 2 1 12528 12613 12630 XOR 2 1 12130 12630 12631 XOR 2 1 12629 12631 12632 XOR 2 1 12296 12397 12633 XOR 2 1 12061 12633 12634 XOR 2 1 12536 12634 12635 XOR 2 1 12000 12635 12636 XOR 2 1 12367 12636 12637 XOR 2 1 12632 12637 12638 AND 2 1 11332 11735 12639 AND 2 1 11322 12639 12640 XOR 2 1 11848 12640 12641 XOR 2 1 11789 12641 12642 XOR 2 1 12417 12642 12643 XOR 2 1 12381 12643 12644 XOR 2 1 12317 12585 12645 XOR 2 1 12492 12645 12646 XOR 2 1 12548 12646 12647 XOR 2 1 12420 12647 12648 XOR 2 1 12644 12648 12649 XOR 2 1 12638 12649 12650 XOR 2 1 12147 12222 12651 AND 2 1 11945 12025 12652 AND 2 1 11938 12652 12653 XOR 2 1 11855 12653 12654 XOR 2 1 12050 12654 12655 XOR 2 1 12640 12655 12656 XOR 2 1 12420 12656 12657 XOR 2 1 12019 12149 12658 XOR 2 1 12339 12658 12659 XOR 2 1 12250 12659 12660 XOR 2 1 12568 12660 12661 XOR 2 1 12049 12498 12662 XOR 2 1 12641 12662 12663 XOR 2 1 12414 12663 12664 XOR 2 1 12661 12664 12665 XOR 2 1 12657 12665 12666 XOR 2 1 12651 12666 12667 XOR 2 1 12526 12578 12668 XOR 2 1 12117 12668 12669 XOR 2 1 11923 12669 12670 XOR 2 1 12400 12534 12671 XOR 2 1 12347 12671 12672 XOR 2 1 12441 12672 12673 XOR 2 1 12670 12673 12674 AND 2 1 12543 12587 12675 XOR 2 1 12071 12675 12676 XOR 2 1 11882 12676 12677 XOR 2 1 12674 12677 12678 XOR 2 1 12667 12678 12679 XOR 2 1 12316 12359 12680 AND 2 1 12071 12474 12681 XOR 2 1 12103 12658 12682 XOR 2 1 12564 12682 12683 XOR 2 1 12602 12683 12684 XOR 2 1 12643 12684 12685 XOR 2 1 12681 12685 12686 XOR 2 1 12680 12686 12687 XOR 2 1 12113 12295 12688 XOR 2 1 12556 12688 12689 XOR 2 1 11647 12444 12690 XOR 2 1 12345 12524 12691 XOR 2 1 11815 12691 12692 XOR 2 1 12690 12692 12693 XOR 2 1 12486 12693 12694 XOR 2 1 12689 12694 12695 AND 2 1 12182 12501 12696 XOR 2 1 12047 12275 12697 XOR 2 1 12696 12697 12698 XOR 2 1 12695 12698 12699 XOR 2 1 12687 12699 12700 XOR 2 1 12679 12700 12701 XOR 2 1 12650 12701 12702 XOR 2 1 12628 12702 12703 XOR 2 1 12292 12536 12704 XOR 2 1 12596 12704 12705 XOR 2 1 12105 12424 12706 XOR 2 1 12084 12327 12707 XOR 2 1 11863 12707 12708 XOR 2 1 12049 12708 12709 XOR 2 1 12572 12709 12710 XOR 2 1 12543 12710 12711 XOR 2 1 12706 12711 12712 XOR 2 1 12705 12712 12713 AND 2 1 12124 12436 12714 XOR 2 1 12227 12488 12715 XOR 2 1 12526 12715 12716 XOR 2 1 12714 12716 12717 XOR 2 1 12713 12717 12718 XOR 2 1 12483 12718 12719 XOR 2 1 12314 12488 12720 XOR 2 1 12613 12692 12721 XOR 2 1 12133 12721 12722 XOR 2 1 12720 12722 12723 XOR 2 1 12341 12507 12724 XOR 2 1 10990 11683 12725 AND 2 1 10969 12725 12726 XOR 2 1 11878 12726 12727 XOR 2 1 12283 12727 12728 XOR 2 1 12068 12728 12729 XOR 2 1 12622 12729 12730 XOR 2 1 12160 12730 12731 XOR 2 1 12724 12731 12732 XOR 2 1 12723 12732 12733 AND 2 1 12357 12516 12734 XOR 2 1 12609 12634 12735 XOR 2 1 12208 12735 12736 XOR 2 1 12734 12736 12737 XOR 2 1 12733 12737 12738 XOR 2 1 12396 12738 12739 XOR 2 1 12719 12739 12740 XOR 2 1 12127 12740 12741 XOR 2 1 12703 12741 12742 XOR 2 1 12523 12742 12743 XOR 2 1 384 12743 13911 XOR 2 1 11087 12352 12744 XOR 2 1 12172 12744 12745 XOR 2 1 12365 12745 12746 XOR 2 1 12400 12734 12747 XOR 2 1 12746 12747 12748 XOR 2 1 11688 12600 12749 XOR 2 1 12408 12749 12750 XOR 2 1 12375 12750 12751 XOR 2 1 12411 12644 12752 XOR 2 1 12751 12752 12753 XOR 2 1 12748 12753 12754 AND 2 1 11906 12307 12755 XOR 2 1 12177 12755 12756 XOR 2 1 12388 12756 12757 XOR 2 1 12431 12629 12758 XOR 2 1 12757 12758 12759 XOR 2 1 12754 12759 12760 XOR 2 1 12354 12457 12761 XOR 2 1 12671 12761 12762 XOR 2 1 12602 12657 12763 XOR 2 1 12588 12763 12764 XOR 2 1 12762 12764 12765 AND 2 1 12134 12309 12766 XOR 2 1 12579 12766 12767 XOR 2 1 12765 12767 12768 XOR 2 1 11930 12768 12769 XOR 2 1 12511 12745 12770 XOR 2 1 12690 12770 12771 XOR 2 1 12681 12750 12772 XOR 2 1 12617 12772 12773 XOR 2 1 12771 12773 12774 AND 2 1 12306 12756 12775 XOR 2 1 12607 12775 12776 XOR 2 1 12774 12776 12777 XOR 2 1 12152 12495 12778 XOR 2 1 12253 12696 12779 XOR 2 1 12778 12779 12780 XOR 2 1 12555 12780 12781 AND 2 1 12558 12781 12782 XOR 2 1 12777 12782 12783 XOR 2 1 12769 12783 12784 XOR 2 1 12760 12784 12785 XOR 2 1 12522 12785 12786 XOR 2 1 12723 12737 12787 AND 2 1 12643 12709 12788 XOR 2 1 12322 12541 12789 XOR 2 1 12149 12789 12790 XOR 2 1 12646 12790 12791 XOR 2 1 12656 12791 12792 XOR 2 1 12788 12792 12793 XOR 2 1 12787 12793 12794 XOR 2 1 12446 12463 12795 AND 2 1 12378 12726 12796 XOR 2 1 12076 12796 12797 XOR 2 1 12327 12797 12798 XOR 2 1 12656 12798 12799 XOR 2 1 12505 12560 12800 XOR 2 1 12198 12800 12801 XOR 2 1 12660 12801 12802 XOR 2 1 12073 12179 12803 XOR 2 1 12707 12803 12804 XOR 2 1 12653 12804 12805 XOR 2 1 12802 12805 12806 XOR 2 1 12799 12806 12807 XOR 2 1 12795 12807 12808 XOR 2 1 12552 12808 12809 XOR 2 1 12490 12518 12810 AND 2 1 12562 12683 12811 XOR 2 1 12709 12811 12812 XOR 2 1 12095 12812 12813 XOR 2 1 12810 12813 12814 XOR 2 1 12298 12432 12815 XOR 2 1 12217 12815 12816 XOR 2 1 11981 12242 12817 XOR 2 1 12553 12817 12818 XOR 2 1 12816 12818 12819 AND 2 1 12285 12412 12820 XOR 2 1 12196 12820 12821 XOR 2 1 12819 12821 12822 XOR 2 1 12814 12822 12823 XOR 2 1 12809 12823 12824 XOR 2 1 12794 12824 12825 XOR 2 1 12702 12825 12826 XOR 2 1 12479 12634 12827 XOR 2 1 12355 12827 12828 XOR 2 1 12572 12664 12829 XOR 2 1 12548 12829 12830 XOR 2 1 12603 12830 12831 XOR 2 1 12828 12831 12832 AND 2 1 12228 12528 12833 XOR 2 1 12593 12833 12834 XOR 2 1 12832 12834 12835 XOR 2 1 12777 12835 12836 XOR 2 1 12242 12692 12837 XOR 2 1 11224 12837 12838 XOR 2 1 12715 12838 12839 XOR 2 1 12253 12507 12840 XOR 2 1 12275 12622 12841 XOR 2 1 11704 12841 12842 XOR 2 1 12840 12842 12843 XOR 2 1 12839 12843 12844 AND 2 1 12291 12516 12845 XOR 2 1 12295 12609 12846 XOR 2 1 11909 12846 12847 XOR 2 1 12845 12847 12848 XOR 2 1 12844 12848 12849 XOR 2 1 12760 12849 12850 XOR 2 1 12836 12850 12851 XOR 2 1 12439 12851 12852 XOR 2 1 12826 12852 12853 XOR 2 1 12786 12853 12854 XOR 2 1 569 12854 13912 XOR 2 1 12015 12745 12855 XOR 2 1 12534 12845 12856 XOR 2 1 12855 12856 12857 XOR 2 1 12105 12750 12858 XOR 2 1 12587 12788 12859 XOR 2 1 12858 12859 12860 XOR 2 1 12857 12860 12861 AND 2 1 12124 12756 12862 XOR 2 1 12578 12720 12863 XOR 2 1 12862 12863 12864 XOR 2 1 12861 12864 12865 XOR 2 1 11914 12513 12866 XOR 2 1 12537 12866 12867 XOR 2 1 12683 12799 12868 XOR 2 1 12675 12868 12869 XOR 2 1 12867 12869 12870 AND 2 1 12311 12440 12871 XOR 2 1 12668 12871 12872 XOR 2 1 12870 12872 12873 XOR 2 1 12396 12873 12874 XOR 2 1 12224 12874 12875 XOR 2 1 12865 12875 12876 XOR 2 1 12785 12876 12877 XOR 2 1 12839 12848 12878 AND 2 1 12493 12546 12879 XOR 2 1 12157 12879 12880 XOR 2 1 12790 12880 12881 XOR 2 1 12798 12881 12882 XOR 2 1 12710 12882 12883 XOR 2 1 12878 12883 12884 XOR 2 1 11649 11929 12885 AND 2 1 12619 12640 12886 XOR 2 1 12415 12886 12887 XOR 2 1 12332 12887 12888 XOR 2 1 12798 12888 12889 XOR 2 1 12251 12565 12890 XOR 2 1 12450 12890 12891 XOR 2 1 12801 12891 12892 XOR 2 1 12192 12414 12893 XOR 2 1 12570 12893 12894 XOR 2 1 12726 12894 12895 XOR 2 1 12892 12895 12896 XOR 2 1 12889 12896 12897 XOR 2 1 12885 12897 12898 XOR 2 1 12650 12898 12899 XOR 2 1 12592 12899 12900 XOR 2 1 12884 12900 12901 XOR 2 1 12825 12901 12902 XOR 2 1 12664 12805 12903 XOR 2 1 12646 12903 12904 XOR 2 1 12684 12904 12905 XOR 2 1 12611 12905 12906 AND 2 1 12615 12906 12907 XOR 2 1 12127 12907 12908 XOR 2 1 12302 12865 12909 XOR 2 1 12908 12909 12910 XOR 2 1 12768 12910 12911 XOR 2 1 12902 12911 12912 XOR 2 1 12877 12912 12913 XOR 2 1 793 12913 13913 XOR 2 1 12212 12389 12914 XOR 2 1 12635 12914 12915 XOR 2 1 12562 12889 12916 XOR 2 1 12549 12916 12917 XOR 2 1 12915 12917 12918 AND 2 1 11397 12485 12919 XOR 2 1 12529 12919 12920 XOR 2 1 12918 12920 12921 XOR 2 1 12760 12921 12922 XOR 2 1 12465 12922 12923 XOR 2 1 12718 12923 12924 XOR 2 1 12876 12924 12925 XOR 2 1 12248 12301 12926 AND 2 1 12319 12880 12927 XOR 2 1 12888 12927 12928 XOR 2 1 12829 12928 12929 XOR 2 1 12926 12929 12930 XOR 2 1 12370 12395 12931 AND 2 1 12328 12654 12932 XOR 2 1 12498 12932 12933 XOR 2 1 12888 12933 12934 XOR 2 1 12341 12891 12935 XOR 2 1 11835 12653 12936 XOR 2 1 12662 12936 12937 XOR 2 1 12619 12937 12938 XOR 2 1 12935 12938 12939 XOR 2 1 12934 12939 12940 XOR 2 1 12931 12940 12941 XOR 1 1 12941 12942 INV 2 1 12794 12942 12943 XOR 2 1 12679 12943 12944 XOR 2 1 12930 12944 12945 XOR 2 1 12901 12945 12946 XOR 2 1 12805 12895 12947 XOR 2 1 12790 12947 12948 XOR 2 1 12811 12948 12949 XOR 2 1 12689 12949 12950 AND 2 1 12694 12950 12951 XOR 2 1 12439 12951 12952 XOR 2 1 12719 12952 12953 XOR 2 1 12873 12953 12954 XOR 2 1 12946 12954 12955 XOR 2 1 12925 12955 12956 XOR 2 1 417 12956 13914 XOR 2 1 12216 12757 12957 XOR 2 1 12735 12957 12958 XOR 2 1 12568 12934 12959 XOR 2 1 12647 12959 12960 XOR 2 1 12958 12960 12961 AND 2 1 12137 12366 12962 XOR 2 1 12630 12962 12963 XOR 2 1 12961 12963 12964 XOR 2 1 12865 12964 12965 XOR 2 1 12769 12965 12966 XOR 2 1 12835 12966 12967 XOR 2 1 12924 12967 12968 XOR 2 1 12468 12482 12969 AND 2 1 12325 12933 12970 XOR 2 1 12903 12970 12971 XOR 2 1 12969 12971 12972 XOR 2 1 12748 12759 12973 AND 2 1 12333 12796 12974 XOR 2 1 12179 12974 12975 XOR 2 1 12933 12975 12976 XOR 2 1 12727 12803 12977 XOR 2 1 12272 12977 12978 XOR 2 1 12724 12978 12979 XOR 2 1 12976 12979 12980 XOR 2 1 12973 12980 12981 XOR 2 1 12884 12981 12982 XOR 2 1 12809 12982 12983 XOR 2 1 12972 12983 12984 XOR 2 1 12945 12984 12985 XOR 2 1 12895 12938 12986 XOR 2 1 12880 12986 12987 XOR 2 1 12569 12987 12988 XOR 2 1 12816 12988 12989 AND 2 1 12818 12989 12990 XOR 2 1 12768 12990 12991 XOR 2 1 12836 12991 12992 XOR 2 1 12921 12992 12993 XOR 2 1 12985 12993 12994 XOR 2 1 12968 12994 12995 XOR 2 1 461 12995 13915 XOR 2 1 11918 12862 12996 XOR 2 1 12846 12996 12997 XOR 2 1 12660 12976 12998 XOR 2 1 12791 12998 12999 XOR 2 1 12997 12999 13000 AND 2 1 12141 12746 13001 XOR 2 1 12721 13001 13002 XOR 2 1 13000 13002 13003 XOR 2 1 12718 13003 13004 XOR 2 1 12874 13004 13005 XOR 2 1 12907 13005 13006 XOR 2 1 12967 13006 13007 XOR 2 1 12771 12776 13008 AND 2 1 12496 12975 13009 XOR 2 1 12947 13009 13010 XOR 2 1 13008 13010 13011 XOR 2 1 12857 12864 13012 AND 2 1 12499 12886 13013 XOR 2 1 12192 13013 13014 XOR 2 1 12975 13014 13015 XOR 2 1 12620 12893 13016 XOR 2 1 12282 13016 13017 XOR 2 1 12840 13017 13018 XOR 2 1 13015 13018 13019 XOR 2 1 13012 13019 13020 XOR 2 1 12930 13020 13021 XOR 2 1 12899 13021 13022 XOR 2 1 13011 13022 13023 XOR 2 1 12984 13023 13024 XOR 2 1 12938 12978 13025 XOR 2 1 12319 13025 13026 XOR 2 1 12661 13026 13027 XOR 2 1 12581 13027 13028 AND 2 1 12583 13028 13029 XOR 2 1 12873 13029 13030 XOR 2 1 12908 13030 13031 XOR 2 1 12964 13031 13032 XOR 2 1 13024 13032 13033 XOR 2 1 13007 13033 13034 XOR 2 1 776 13034 13916 XOR 2 1 11922 12714 13035 XOR 2 1 12299 13035 13036 XOR 2 1 12801 13015 13037 XOR 2 1 12881 13037 13038 XOR 2 1 13036 13038 13039 AND 2 1 11517 12855 13040 XOR 2 1 12837 13040 13041 XOR 2 1 13039 13041 13042 XOR 2 1 12835 13042 13043 XOR 2 1 12922 13043 13044 XOR 2 1 12951 13044 13045 XOR 2 1 13006 13045 13046 XOR 2 1 12017 12126 13047 AND 2 1 12778 13014 13048 XOR 2 1 12986 13048 13049 XOR 2 1 13047 13049 13050 XOR 2 1 12705 12717 13051 AND 2 1 12330 13014 13052 XOR 2 1 12273 12936 13053 XOR 2 1 12471 13053 13054 XOR 2 1 12254 13054 13055 XOR 2 1 13052 13055 13056 XOR 2 1 13051 13056 13057 XOR 2 1 12972 13057 13058 XOR 2 1 12943 13058 13059 XOR 2 1 13050 13059 13060 XOR 2 1 13023 13060 13061 XOR 2 1 12978 13017 13062 XOR 2 1 12324 13062 13063 XOR 2 1 12802 13063 13064 XOR 2 1 12670 13064 13065 AND 2 1 12673 13065 13066 XOR 2 1 12921 13066 13067 XOR 2 1 12952 13067 13068 XOR 2 1 13003 13068 13069 XOR 2 1 13061 13069 13070 XOR 2 1 13046 13070 13071 XOR 2 1 400 13071 13917 XOR 2 1 12891 13052 13072 XOR 2 1 12927 13072 13073 XOR 2 1 12595 13073 13074 AND 2 1 12598 13074 13075 XOR 2 1 12907 13075 13076 XOR 2 1 12965 13076 13077 XOR 2 1 12990 13077 13078 XOR 2 1 13045 13078 13079 XOR 2 1 12403 12438 13080 AND 2 1 12161 12330 13081 XOR 2 1 13025 13081 13082 XOR 2 1 13080 13082 13083 XOR 2 1 12828 12834 13084 AND 2 1 12469 12729 13085 XOR 2 1 12336 13085 13086 XOR 2 1 13084 13086 13087 XOR 2 1 13011 13087 13088 XOR 2 1 12982 13088 13089 XOR 2 1 13083 13089 13090 XOR 2 1 13060 13090 13091 XOR 2 1 13017 13054 13092 XOR 2 1 12495 13092 13093 XOR 2 1 12892 13093 13094 XOR 2 1 12531 13094 13095 AND 2 1 12539 13095 13096 XOR 2 1 12964 13096 13097 XOR 2 1 12991 13097 13098 XOR 2 1 13042 13098 13099 XOR 2 1 13091 13099 13100 XOR 2 1 13079 13100 13101 XOR 2 1 444 13101 13918 XOR 2 1 12360 12951 13102 XOR 2 1 13004 13102 13103 XOR 2 1 13029 13103 13104 XOR 2 1 13078 13104 13105 XOR 2 1 12762 12767 13106 AND 2 1 12335 12447 13107 XOR 2 1 13062 13107 13108 XOR 2 1 13106 13108 13109 XOR 2 1 12625 13050 13110 XOR 2 1 13021 13110 13111 XOR 2 1 13109 13111 13112 XOR 2 1 13090 13112 13113 XOR 2 1 12729 13054 13114 XOR 2 1 12152 13114 13115 XOR 2 1 12935 13115 13116 XOR 2 1 12632 13116 13117 AND 2 1 12637 13117 13118 XOR 2 1 13003 13118 13119 XOR 2 1 13030 13119 13120 XOR 2 1 13075 13120 13121 XOR 2 1 13113 13121 13122 XOR 2 1 13105 13122 13123 XOR 2 1 1049 13123 13919 XOR 2 1 12519 12990 13124 XOR 2 1 13043 13124 13125 XOR 2 1 13066 13125 13126 XOR 2 1 13104 13126 13127 XOR 2 1 12867 12872 13128 AND 2 1 11758 12501 13129 XOR 2 1 13092 13129 13130 XOR 2 1 13128 13130 13131 XOR 2 1 12699 13083 13132 XOR 2 1 13058 13132 13133 XOR 2 1 13131 13133 13134 XOR 2 1 13112 13134 13135 XOR 2 1 12738 13042 13136 XOR 2 1 13067 13136 13137 XOR 2 1 12360 13137 13138 XOR 2 1 13135 13138 13139 XOR 2 1 13127 13139 13140 XOR 2 1 1026 13140 13920 XOR 2 1 12782 13029 13141 XOR 2 1 13076 13141 13142 XOR 2 1 13096 13142 13143 XOR 2 1 13126 13143 13144 XOR 2 1 12915 12920 13145 AND 2 1 12182 12376 13146 XOR 2 1 13114 13146 13147 XOR 2 1 13145 13147 13148 XOR 2 1 12822 13109 13149 XOR 2 1 13088 13149 13150 XOR 2 1 13148 13150 13151 XOR 2 1 13134 13151 13152 XOR 2 1 12849 13075 13153 XOR 2 1 13097 13153 13154 XOR 2 1 12519 13154 13155 XOR 2 1 13152 13155 13156 XOR 2 1 13144 13156 13157 XOR 2 1 820 13157 13921 XOR 2 1 12223 13066 13158 XOR 2 1 13102 13158 13159 XOR 2 1 13118 13159 13160 XOR 2 1 13143 13160 13161 XOR 2 1 12958 12963 13162 AND 2 1 12195 12751 13163 XOR 2 1 12730 13163 13164 XOR 2 1 13162 13164 13165 XOR 2 1 12591 13131 13166 XOR 2 1 13110 13166 13167 XOR 2 1 13165 13167 13168 XOR 2 1 13151 13168 13169 XOR 2 1 12361 13119 13170 XOR 2 1 12782 13170 13171 XOR 2 1 13169 13171 13172 XOR 2 1 13161 13172 13173 XOR 2 1 861 13173 13922 XOR 2 1 12464 13096 13174 XOR 2 1 13124 13174 13175 XOR 2 1 12738 13175 13176 XOR 2 1 13160 13176 13177 XOR 2 1 12997 13002 13178 AND 2 1 11838 12858 13179 XOR 2 1 12841 13179 13180 XOR 2 1 13178 13180 13181 XOR 2 1 12678 13148 13182 XOR 2 1 13132 13182 13183 XOR 2 1 13181 13183 13184 XOR 2 1 13168 13184 13185 XOR 2 1 12520 13136 13186 XOR 2 1 12223 13186 13187 XOR 2 1 13185 13187 13188 XOR 2 1 13177 13188 13189 XOR 2 1 805 13189 13923 XOR 2 1 11930 13118 13190 XOR 2 1 13141 13190 13191 XOR 2 1 12849 13191 13192 XOR 2 1 13176 13192 13193 XOR 2 1 13036 13041 13194 AND 2 1 11881 12706 13195 XOR 2 1 12286 13195 13196 XOR 2 1 13194 13196 13197 XOR 2 1 12552 13165 13198 XOR 2 1 13149 13198 13199 XOR 2 1 13197 13199 13200 XOR 2 1 13184 13200 13201 XOR 2 1 12783 13153 13202 XOR 2 1 12464 13202 13203 XOR 2 1 13201 13203 13204 XOR 2 1 13193 13204 13205 XOR 2 1 666 13205 13924 XOR 2 1 12739 13158 13206 XOR 2 1 12302 13206 13207 XOR 2 1 13192 13207 13208 XOR 2 1 12650 13181 13209 XOR 2 1 13166 13209 13210 XOR 2 1 12606 13210 13211 XOR 2 1 13200 13211 13212 XOR 2 1 12363 13212 13213 XOR 2 1 13208 13213 13214 XOR 2 1 506 13214 13925 XOR 2 1 12850 13174 13215 XOR 2 1 12483 13215 13216 XOR 2 1 13207 13216 13217 XOR 2 1 12794 13197 13218 XOR 2 1 13182 13218 13219 XOR 2 1 12687 13219 13220 XOR 2 1 13211 13220 13221 XOR 2 1 12522 13221 13222 XOR 2 1 13217 13222 13223 XOR 2 1 425 13223 13926 XOR 2 1 12909 13190 13224 XOR 2 1 12777 13224 13225 XOR 2 1 13216 13225 13226 XOR 2 1 12606 12884 13227 XOR 2 1 13198 13227 13228 XOR 2 1 12814 13228 13229 XOR 2 1 13220 13229 13230 XOR 2 1 12785 13230 13231 XOR 2 1 13226 13231 13232 XOR 2 1 469 13232 13927 XOR 2 1 12741 13225 13233 XOR 2 1 12687 12930 13234 XOR 2 1 13209 13234 13235 XOR 2 1 12575 13235 13236 XOR 2 1 13229 13236 13237 XOR 2 1 12876 13237 13238 XOR 2 1 13233 13238 13239 XOR 2 1 489 13239 13928 XOR 2 1 12741 12852 13240 XOR 2 1 12814 12972 13241 XOR 2 1 13218 13241 13242 XOR 2 1 12667 13242 13243 XOR 2 1 13236 13243 13244 XOR 2 1 12924 13244 13245 XOR 2 1 13240 13245 13246 XOR 2 1 408 13246 13929 XOR 2 1 12852 12911 13247 XOR 2 1 12575 13011 13248 XOR 2 1 13227 13248 13249 XOR 2 1 12808 13249 13250 XOR 2 1 13243 13250 13251 XOR 2 1 12967 13251 13252 XOR 2 1 13247 13252 13253 XOR 2 1 452 13253 13930 XOR 2 1 12911 12954 13254 XOR 2 1 12667 13050 13255 XOR 2 1 13234 13255 13256 XOR 2 1 12898 13256 13257 XOR 2 1 13250 13257 13258 XOR 2 1 13006 13258 13259 XOR 2 1 13254 13259 13260 XOR 2 1 392 13260 13931 XOR 2 1 12954 12993 13261 XOR 2 1 12808 13083 13262 XOR 2 1 13241 13262 13263 XOR 2 1 13263 12942 13264 XOR 2 1 13257 13264 13265 XOR 2 1 13045 13265 13266 XOR 2 1 13261 13266 13267 XOR 2 1 436 13267 13932 XOR 2 1 12993 13032 13268 XOR 2 1 12898 13109 13269 XOR 2 1 13248 13269 13270 XOR 2 1 12981 13270 13271 XOR 2 1 13264 13271 13272 XOR 2 1 13078 13272 13273 XOR 2 1 13268 13273 13274 XOR 2 1 785 13274 13933 XOR 2 1 13032 13069 13275 XOR 2 1 13131 12942 13276 XOR 2 1 13255 13276 13277 XOR 2 1 13020 13277 13278 XOR 2 1 13271 13278 13279 XOR 2 1 13104 13279 13280 XOR 2 1 13275 13280 13281 XOR 2 1 964 13281 13934 XOR 2 1 13069 13099 13282 XOR 2 1 12981 13148 13283 XOR 2 1 13262 13283 13284 XOR 2 1 13057 13284 13285 XOR 2 1 13278 13285 13286 XOR 2 1 13126 13286 13287 XOR 2 1 13282 13287 13288 XOR 2 1 560 13288 13935 XOR 2 1 13099 13121 13289 XOR 2 1 13020 13165 13290 XOR 2 1 13269 13290 13291 XOR 2 1 13087 13291 13292 XOR 2 1 13285 13292 13293 XOR 2 1 13143 13293 13294 XOR 2 1 13289 13294 13295 XOR 2 1 680 13295 13936 XOR 2 1 13121 13138 13296 XOR 2 1 13057 13181 13297 XOR 2 1 13276 13297 13298 XOR 2 1 12625 13298 13299 XOR 2 1 13292 13299 13300 XOR 2 1 13160 13300 13301 XOR 2 1 13296 13301 13302 XOR 2 1 388 13302 13937 XOR 2 1 13138 13155 13303 XOR 2 1 13087 13197 13304 XOR 2 1 13283 13304 13305 XOR 2 1 12699 13305 13306 XOR 2 1 13299 13306 13307 XOR 2 1 13176 13307 13308 XOR 2 1 13303 13308 13309 XOR 2 1 548 13309 13938 XOR 2 1 13155 13171 13310 XOR 2 1 12626 13290 13311 XOR 2 1 12822 13311 13312 XOR 2 1 13306 13312 13313 XOR 2 1 13192 13313 13314 XOR 2 1 13310 13314 13315 XOR 2 1 651 13315 13939 XOR 2 1 13171 13187 13316 XOR 2 1 12700 13297 13317 XOR 2 1 12591 13317 13318 XOR 2 1 13312 13318 13319 XOR 2 1 13207 13319 13320 XOR 2 1 13316 13320 13321 XOR 2 1 497 13321 13940 XOR 2 1 13187 13203 13322 XOR 2 1 12823 13304 13323 XOR 2 1 12678 13323 13324 XOR 2 1 13318 13324 13325 XOR 2 1 13216 13325 13326 XOR 2 1 13322 13326 13327 XOR 2 1 535 13327 13941 XOR 2 1 12363 13203 13328 XOR 2 1 12628 13324 13329 XOR 2 1 13225 13329 13330 XOR 2 1 13328 13330 13331 XOR 2 1 481 13331 13942 XOR 2 1 13060 13144 13332 XOR 2 1 13265 13332 13333 XOR 2 1 525 13333 13334 XOR 1 1 13334 13943 INV 2 1 13090 13161 13335 XOR 2 1 13272 13335 13336 XOR 2 1 574 13336 13944 XOR 2 1 13112 13177 13337 XOR 2 1 13279 13337 13338 XOR 2 1 798 13338 13945 XOR 2 1 13134 13193 13339 XOR 2 1 13286 13339 13340 XOR 2 1 422 13340 13946 XOR 2 1 13151 13208 13341 XOR 2 1 13293 13341 13342 XOR 2 1 466 13342 13947 XOR 2 1 13168 13217 13343 XOR 2 1 13300 13343 13344 XOR 2 1 781 13344 13948 XOR 2 1 13184 13226 13345 XOR 2 1 13307 13345 13346 XOR 2 1 405 13346 13949 XOR 2 1 13200 13233 13347 XOR 2 1 13313 13347 13348 XOR 2 1 449 13348 13950 XOR 2 1 13211 13240 13349 XOR 2 1 13319 13349 13350 XOR 2 1 1054 13350 13951 XOR 2 1 13220 13247 13351 XOR 2 1 13325 13351 13352 XOR 2 1 1028 13352 13952 XOR 2 1 13229 13254 13353 XOR 2 1 13329 13353 13354 XOR 2 1 825 13354 13953 XOR 2 1 13236 13261 13355 XOR 2 1 12703 13355 13356 XOR 2 1 866 13356 13954 XOR 2 1 13243 13268 13357 XOR 2 1 12826 13357 13358 XOR 2 1 807 13358 13955 XOR 2 1 13250 13275 13359 XOR 2 1 12902 13359 13360 XOR 2 1 671 13360 13956 XOR 2 1 13257 13282 13361 XOR 2 1 12946 13361 13362 XOR 2 1 508 13362 13957 XOR 2 1 13264 13289 13363 XOR 2 1 12985 13363 13364 XOR 2 1 430 13364 13958 XOR 2 1 13271 13296 13365 XOR 2 1 13024 13365 13366 XOR 2 1 474 13366 13959 XOR 2 1 13278 13303 13367 XOR 2 1 13061 13367 13368 XOR 2 1 491 13368 13960 XOR 2 1 13285 13310 13369 XOR 2 1 13091 13369 13370 XOR 2 1 413 13370 13961 XOR 2 1 13292 13316 13371 XOR 2 1 13113 13371 13372 XOR 2 1 457 13372 13962 XOR 2 1 13299 13322 13373 XOR 2 1 13135 13373 13374 XOR 2 1 397 13374 13963 XOR 2 1 13306 13328 13375 XOR 2 1 13152 13375 13376 XOR 2 1 441 13376 13964 XOR 2 1 12523 13312 13377 XOR 2 1 13169 13377 13378 XOR 2 1 790 13378 13965 XOR 2 1 12786 13318 13379 XOR 2 1 13185 13379 13380 XOR 2 1 969 13380 13966 XOR 2 1 12877 13324 13381 XOR 2 1 13201 13381 13382 XOR 2 1 565 13382 13967 XOR 2 1 12628 12925 13383 XOR 2 1 13212 13383 13384 XOR 2 1 685 13384 13968 XOR 2 1 12702 12968 13385 XOR 2 1 13221 13385 13386 XOR 2 1 515 13386 13969 XOR 2 1 12825 13007 13387 XOR 2 1 13230 13387 13388 XOR 2 1 550 13388 13970 XOR 2 1 12901 13046 13389 XOR 2 1 13237 13389 13390 XOR 2 1 656 13390 13971 XOR 2 1 12945 13079 13391 XOR 2 1 13244 13391 13392 XOR 2 1 499 13392 13972 XOR 2 1 12984 13105 13393 XOR 2 1 13251 13393 13394 XOR 2 1 537 13394 13973 XOR 2 1 13023 13127 13395 XOR 2 1 13258 13395 13396 XOR 2 1 483 13396 13974 XOR 2 1 11930 12898 13397 AND 2 1 11904 13397 13398 XOR 2 1 12127 13050 13399 AND 2 1 12107 13399 13400 XOR 2 1 12223 12667 13401 AND 2 1 12203 13401 13402 XOR 2 1 13400 13402 13403 XOR 2 1 12302 12930 13404 AND 2 1 12288 13404 13405 XOR 2 1 12360 12687 13406 AND 2 1 12343 13406 13407 XOR 2 1 13405 13407 13408 XOR 2 1 13403 13408 13409 XOR 2 1 13398 13409 13410 XOR 2 1 12396 12942 13411 AND 2 1 12384 13411 13412 XOR 2 1 12439 13083 13413 AND 2 1 12426 13413 13414 XOR 2 1 12464 12808 13415 AND 2 1 12455 13415 13416 XOR 2 1 13414 13416 13417 XOR 2 1 12483 12972 13418 AND 2 1 12477 13418 13419 XOR 2 1 12519 12814 13420 AND 2 1 12509 13420 13421 XOR 2 1 13419 13421 13422 XOR 2 1 13417 13422 13423 XOR 2 1 13412 13423 13424 XOR 2 1 13410 13424 13425 XOR 2 1 12552 13094 13426 AND 2 1 12531 13426 13427 XOR 2 1 12575 12780 13428 AND 2 1 12555 13428 13429 XOR 2 1 12591 13027 13430 AND 2 1 12581 13430 13431 XOR 2 1 13429 13431 13432 XOR 2 1 12606 13073 13433 AND 2 1 12595 13433 13434 XOR 2 1 12625 12905 13435 AND 2 1 12611 13435 13436 XOR 2 1 13434 13436 13437 XOR 2 1 13432 13437 13438 XOR 2 1 13427 13438 13439 XOR 2 1 12650 13116 13440 AND 2 1 12632 13440 13441 XOR 2 1 12203 12667 13442 AND 2 1 12147 13442 13443 XOR 2 1 12678 13064 13444 AND 2 1 12670 13444 13445 XOR 2 1 13443 13445 13446 XOR 2 1 12343 12687 13447 AND 2 1 12316 13447 13448 XOR 2 1 12699 12949 13449 AND 2 1 12689 13449 13450 XOR 2 1 13448 13450 13451 XOR 2 1 13446 13451 13452 XOR 2 1 13441 13452 13453 XOR 2 1 13439 13453 13454 XOR 2 1 12718 13057 13455 AND 2 1 12712 13455 13456 XOR 2 1 13419 13456 13457 XOR 2 1 12738 12794 13458 AND 2 1 12732 13458 13459 XOR 2 1 13412 13459 13460 XOR 2 1 13457 13460 13461 XOR 2 1 13400 13461 13462 XOR 2 1 13454 13462 13463 XOR 2 1 13425 13463 13464 XOR 2 1 528 13464 13465 XOR 1 1 13465 13975 INV 2 1 12760 12981 13466 AND 2 1 12753 13466 13467 XOR 2 1 12768 13109 13468 AND 2 1 12764 13468 13469 XOR 2 1 13398 13469 13470 XOR 2 1 12777 13011 13471 AND 2 1 12773 13471 13472 XOR 2 1 12575 12782 13473 AND 2 1 12780 13473 13474 XOR 2 1 13472 13474 13475 XOR 2 1 13470 13475 13476 XOR 2 1 13467 13476 13477 XOR 2 1 13424 13477 13478 XOR 2 1 12732 12794 13479 AND 2 1 12723 13479 13480 XOR 2 1 12455 12808 13481 AND 2 1 12446 13481 13482 XOR 2 1 13427 13482 13483 XOR 2 1 12509 12814 13484 AND 2 1 12490 13484 13485 XOR 2 1 12822 12988 13486 AND 2 1 12816 13486 13487 XOR 2 1 13485 13487 13488 XOR 2 1 13483 13488 13489 XOR 2 1 13480 13489 13490 XOR 2 1 13453 13490 13491 XOR 2 1 12835 13087 13492 AND 2 1 12831 13492 13493 XOR 2 1 13472 13493 13494 XOR 2 1 12849 12884 13495 AND 2 1 12843 13495 13496 XOR 2 1 13467 13496 13497 XOR 2 1 13494 13497 13498 XOR 2 1 13414 13498 13499 XOR 2 1 13491 13499 13500 XOR 2 1 13478 13500 13501 XOR 2 1 740 13501 13976 XOR 2 1 12865 13020 13502 AND 2 1 12860 13502 13503 XOR 2 1 12873 13131 13504 AND 2 1 12869 13504 13505 XOR 2 1 13412 13505 13506 XOR 2 1 13403 13506 13507 XOR 2 1 13503 13507 13508 XOR 2 1 13477 13508 13509 XOR 2 1 12843 12884 13510 AND 2 1 12839 13510 13511 XOR 2 1 11904 12898 13512 AND 2 1 11649 13512 13513 XOR 2 1 13441 13513 13514 XOR 2 1 13432 13514 13515 XOR 2 1 13511 13515 13516 XOR 2 1 13490 13516 13517 XOR 2 1 12625 12907 13518 AND 2 1 12905 13518 13519 XOR 2 1 13400 13519 13520 XOR 2 1 13405 13503 13521 XOR 2 1 13520 13521 13522 XOR 2 1 13469 13522 13523 XOR 2 1 13517 13523 13524 XOR 2 1 13509 13524 13525 XOR 2 1 849 13525 13977 XOR 2 1 12921 13148 13526 AND 2 1 12917 13526 13527 XOR 2 1 13467 13527 13528 XOR 2 1 13417 13528 13529 XOR 2 1 13456 13529 13530 XOR 2 1 13508 13530 13531 XOR 2 1 12288 12930 13532 AND 2 1 12248 13532 13533 XOR 2 1 12384 12942 13534 AND 2 1 12370 13534 13535 XOR 2 1 13480 13535 13536 XOR 2 1 13446 13536 13537 XOR 2 1 13533 13537 13538 XOR 2 1 13516 13538 13539 XOR 2 1 12699 12951 13540 AND 2 1 12949 13540 13541 XOR 2 1 13414 13541 13542 XOR 2 1 13457 13542 13543 XOR 2 1 13505 13543 13544 XOR 2 1 13539 13544 13545 XOR 2 1 13531 13545 13546 XOR 2 1 598 13546 13978 XOR 2 1 12964 13165 13547 AND 2 1 12960 13547 13548 XOR 2 1 13503 13548 13549 XOR 2 1 13470 13549 13550 XOR 2 1 13493 13550 13551 XOR 2 1 13530 13551 13552 XOR 2 1 12477 12972 13553 AND 2 1 12468 13553 13554 XOR 2 1 12753 12981 13555 AND 2 1 12748 13555 13556 XOR 2 1 13511 13556 13557 XOR 2 1 13483 13557 13558 XOR 2 1 13554 13558 13559 XOR 2 1 13538 13559 13560 XOR 2 1 12822 12990 13561 AND 2 1 12988 13561 13562 XOR 2 1 13469 13562 13563 XOR 2 1 13494 13563 13564 XOR 2 1 13527 13564 13565 XOR 2 1 13560 13565 13566 XOR 2 1 13552 13566 13567 XOR 2 1 628 13567 13979 XOR 2 1 13003 13181 13568 AND 2 1 12999 13568 13569 XOR 2 1 13456 13569 13570 XOR 2 1 13506 13570 13571 XOR 2 1 13519 13571 13572 XOR 2 1 13551 13572 13573 XOR 2 1 12773 13011 13574 AND 2 1 12771 13574 13575 XOR 2 1 12860 13020 13576 AND 2 1 12857 13576 13577 XOR 2 1 13533 13577 13578 XOR 2 1 13514 13578 13579 XOR 2 1 13575 13579 13580 XOR 2 1 13559 13580 13581 XOR 2 1 12591 13029 13582 AND 2 1 13027 13582 13583 XOR 2 1 13505 13583 13584 XOR 2 1 13520 13584 13585 XOR 2 1 13548 13585 13586 XOR 2 1 13581 13586 13587 XOR 2 1 13573 13587 13588 XOR 2 1 836 13588 13980 XOR 2 1 13042 13197 13589 AND 2 1 13038 13589 13590 XOR 2 1 13493 13590 13591 XOR 2 1 13528 13591 13592 XOR 2 1 13541 13592 13593 XOR 2 1 13572 13593 13594 XOR 2 1 12107 13050 13595 AND 2 1 12017 13595 13596 XOR 2 1 12712 13057 13597 AND 2 1 12705 13597 13598 XOR 2 1 13554 13598 13599 XOR 2 1 13536 13599 13600 XOR 2 1 13596 13600 13601 XOR 2 1 13580 13601 13602 XOR 2 1 12678 13066 13603 AND 2 1 13064 13603 13604 XOR 2 1 13527 13604 13605 XOR 2 1 13542 13605 13606 XOR 2 1 13569 13606 13607 XOR 2 1 13602 13607 13608 XOR 2 1 13594 13608 13609 XOR 2 1 589 13609 13981 XOR 2 1 12606 13075 13610 AND 2 1 13073 13610 13611 XOR 2 1 13519 13611 13612 XOR 2 1 13549 13612 13613 XOR 2 1 13562 13613 13614 XOR 2 1 13593 13614 13615 XOR 2 1 12426 13083 13616 AND 2 1 12403 13616 13617 XOR 2 1 12831 13087 13618 AND 2 1 12828 13618 13619 XOR 2 1 13575 13619 13620 XOR 2 1 13557 13620 13621 XOR 2 1 13617 13621 13622 XOR 2 1 13601 13622 13623 XOR 2 1 12552 13096 13624 AND 2 1 13094 13624 13625 XOR 2 1 13548 13625 13626 XOR 2 1 13563 13626 13627 XOR 2 1 13590 13627 13628 XOR 2 1 13623 13628 13629 XOR 2 1 13615 13629 13630 XOR 2 1 615 13630 13982 XOR 2 1 13407 13541 13631 XOR 2 1 13570 13631 13632 XOR 2 1 13583 13632 13633 XOR 2 1 13614 13633 13634 XOR 2 1 12764 13109 13635 AND 2 1 12762 13635 13636 XOR 2 1 13436 13596 13637 XOR 2 1 13578 13637 13638 XOR 2 1 13636 13638 13639 XOR 2 1 13622 13639 13640 XOR 2 1 12650 13118 13641 AND 2 1 13116 13641 13642 XOR 2 1 13569 13642 13643 XOR 2 1 13584 13643 13644 XOR 2 1 13611 13644 13645 XOR 2 1 13640 13645 13646 XOR 2 1 13634 13646 13647 XOR 2 1 1058 13647 13983 XOR 2 1 13421 13562 13648 XOR 2 1 13591 13648 13649 XOR 2 1 13604 13649 13650 XOR 2 1 13633 13650 13651 XOR 2 1 12869 13131 13652 AND 2 1 12867 13652 13653 XOR 2 1 13450 13617 13654 XOR 2 1 13599 13654 13655 XOR 2 1 13653 13655 13656 XOR 2 1 13639 13656 13657 XOR 2 1 13459 13590 13658 XOR 2 1 13605 13658 13659 XOR 2 1 13407 13659 13660 XOR 2 1 13657 13660 13661 XOR 2 1 13651 13661 13662 XOR 2 1 1031 13662 13984 XOR 2 1 13474 13583 13663 XOR 2 1 13612 13663 13664 XOR 2 1 13625 13664 13665 XOR 2 1 13650 13665 13666 XOR 2 1 12917 13148 13667 AND 2 1 12915 13667 13668 XOR 2 1 13487 13636 13669 XOR 2 1 13620 13669 13670 XOR 2 1 13668 13670 13671 XOR 2 1 13656 13671 13672 XOR 2 1 13496 13611 13673 XOR 2 1 13626 13673 13674 XOR 2 1 13421 13674 13675 XOR 2 1 13672 13675 13676 XOR 2 1 13666 13676 13677 XOR 2 1 900 13677 13985 XOR 2 1 13402 13604 13678 XOR 2 1 13631 13678 13679 XOR 2 1 13642 13679 13680 XOR 2 1 13665 13680 13681 XOR 2 1 12960 13165 13682 AND 2 1 12958 13682 13683 XOR 2 1 13431 13653 13684 XOR 2 1 13637 13684 13685 XOR 2 1 13683 13685 13686 XOR 2 1 13671 13686 13687 XOR 2 1 13408 13643 13688 XOR 2 1 13474 13688 13689 XOR 2 1 13687 13689 13690 XOR 2 1 13681 13690 13691 XOR 2 1 870 13691 13986 XOR 2 1 13416 13625 13692 XOR 2 1 13648 13692 13693 XOR 2 1 13459 13693 13694 XOR 2 1 13680 13694 13695 XOR 2 1 12999 13181 13696 AND 2 1 12997 13696 13697 XOR 2 1 13445 13668 13698 XOR 2 1 13654 13698 13699 XOR 2 1 13697 13699 13700 XOR 2 1 13686 13700 13701 XOR 2 1 13422 13658 13702 XOR 2 1 13402 13702 13703 XOR 2 1 13701 13703 13704 XOR 2 1 13695 13704 13705 XOR 2 1 810 13705 13987 XOR 2 1 13398 13642 13706 XOR 2 1 13663 13706 13707 XOR 2 1 13496 13707 13708 XOR 2 1 13694 13708 13709 XOR 2 1 13038 13197 13710 AND 2 1 13036 13710 13711 XOR 2 1 13427 13683 13712 XOR 2 1 13669 13712 13713 XOR 2 1 13711 13713 13714 XOR 2 1 13700 13714 13715 XOR 2 1 13475 13673 13716 XOR 2 1 13416 13716 13717 XOR 2 1 13715 13717 13718 XOR 2 1 13709 13718 13719 XOR 2 1 675 13719 13988 XOR 2 1 13460 13678 13720 XOR 2 1 13405 13720 13721 XOR 2 1 13708 13721 13722 XOR 2 1 13441 13697 13723 XOR 2 1 13684 13723 13724 XOR 2 1 13434 13724 13725 XOR 2 1 13714 13725 13726 XOR 2 1 13410 13726 13727 XOR 2 1 13722 13727 13728 XOR 2 1 511 13728 13989 XOR 2 1 13497 13692 13729 XOR 2 1 13419 13729 13730 XOR 2 1 13721 13730 13731 XOR 2 1 13480 13711 13732 XOR 2 1 13698 13732 13733 XOR 2 1 13448 13733 13734 XOR 2 1 13725 13734 13735 XOR 2 1 13424 13735 13736 XOR 2 1 13731 13736 13737 XOR 2 1 545 13737 13990 XOR 2 1 13521 13706 13738 XOR 2 1 13472 13738 13739 XOR 2 1 13730 13739 13740 XOR 2 1 13434 13511 13741 XOR 2 1 13712 13741 13742 XOR 2 1 13485 13742 13743 XOR 2 1 13734 13743 13744 XOR 2 1 13477 13744 13745 XOR 2 1 13740 13745 13746 XOR 2 1 634 13746 13991 XOR 2 1 13462 13739 13747 XOR 2 1 13448 13533 13748 XOR 2 1 13723 13748 13749 XOR 2 1 13429 13749 13750 XOR 2 1 13743 13750 13751 XOR 2 1 13508 13751 13752 XOR 2 1 13747 13752 13753 XOR 2 1 494 13753 13992 XOR 2 1 13462 13499 13754 XOR 2 1 13485 13554 13755 XOR 2 1 13732 13755 13756 XOR 2 1 13443 13756 13757 XOR 2 1 13750 13757 13758 XOR 2 1 13530 13758 13759 XOR 2 1 13754 13759 13760 XOR 2 1 532 13760 13993 XOR 2 1 13499 13523 13761 XOR 2 1 13429 13575 13762 XOR 2 1 13741 13762 13763 XOR 2 1 13482 13763 13764 XOR 2 1 13757 13764 13765 XOR 2 1 13551 13765 13766 XOR 2 1 13761 13766 13767 XOR 2 1 621 13767 13994 XOR 2 1 13523 13544 13768 XOR 2 1 13443 13596 13769 XOR 2 1 13748 13769 13770 XOR 2 1 13513 13770 13771 XOR 2 1 13764 13771 13772 XOR 2 1 13572 13772 13773 XOR 2 1 13768 13773 13774 XOR 2 1 583 13774 13995 XOR 2 1 13544 13565 13775 XOR 2 1 13482 13617 13776 XOR 2 1 13755 13776 13777 XOR 2 1 13535 13777 13778 XOR 2 1 13771 13778 13779 XOR 2 1 13593 13779 13780 XOR 2 1 13775 13780 13781 XOR 2 1 609 13781 13996 XOR 2 1 13565 13586 13782 XOR 2 1 13513 13636 13783 XOR 2 1 13762 13783 13784 XOR 2 1 13556 13784 13785 XOR 2 1 13778 13785 13786 XOR 2 1 13614 13786 13787 XOR 2 1 13782 13787 13788 XOR 2 1 843 13788 13997 XOR 2 1 13586 13607 13789 XOR 2 1 13535 13653 13790 XOR 2 1 13769 13790 13791 XOR 2 1 13577 13791 13792 XOR 2 1 13785 13792 13793 XOR 2 1 13633 13793 13794 XOR 2 1 13789 13794 13795 XOR 2 1 973 13795 13998 XOR 2 1 13607 13628 13796 XOR 2 1 13556 13668 13797 XOR 2 1 13776 13797 13798 XOR 2 1 13598 13798 13799 XOR 2 1 13792 13799 13800 XOR 2 1 13650 13800 13801 XOR 2 1 13796 13801 13802 XOR 2 1 733 13802 13999 XOR 2 1 13628 13645 13803 XOR 2 1 13577 13683 13804 XOR 2 1 13783 13804 13805 XOR 2 1 13619 13805 13806 XOR 2 1 13799 13806 13807 XOR 2 1 13665 13807 13808 XOR 2 1 13803 13808 13809 XOR 2 1 689 13809 14000 XOR 2 1 13645 13660 13810 XOR 2 1 13598 13697 13811 XOR 2 1 13790 13811 13812 XOR 2 1 13436 13812 13813 XOR 2 1 13806 13813 13814 XOR 2 1 13680 13814 13815 XOR 2 1 13810 13815 13816 XOR 2 1 518 13816 14001 XOR 2 1 13660 13675 13817 XOR 2 1 13619 13711 13818 XOR 2 1 13797 13818 13819 XOR 2 1 13450 13819 13820 XOR 2 1 13813 13820 13821 XOR 2 1 13694 13821 13822 XOR 2 1 13817 13822 13823 XOR 2 1 553 13823 14002 XOR 2 1 13675 13689 13824 XOR 2 1 13437 13804 13825 XOR 2 1 13487 13825 13826 XOR 2 1 13820 13826 13827 XOR 2 1 13708 13827 13828 XOR 2 1 13824 13828 13829 XOR 2 1 660 13829 14003 XOR 2 1 13689 13703 13830 XOR 2 1 13451 13811 13831 XOR 2 1 13431 13831 13832 XOR 2 1 13826 13832 13833 XOR 2 1 13721 13833 13834 XOR 2 1 13830 13834 13835 XOR 2 1 502 13835 14004 XOR 2 1 13703 13717 13836 XOR 2 1 13488 13818 13837 XOR 2 1 13445 13837 13838 XOR 2 1 13832 13838 13839 XOR 2 1 13730 13839 13840 XOR 2 1 13836 13840 13841 XOR 2 1 540 13841 14005 XOR 2 1 13410 13717 13842 XOR 2 1 13439 13838 13843 XOR 2 1 13739 13843 13844 XOR 2 1 13842 13844 13845 XOR 2 1 486 13845 14006 XOR 2 1 13601 13666 13846 XOR 2 1 13779 13846 13847 XOR 2 1 883 13847 13848 XOR 1 1 13848 14007 INV 2 1 13622 13681 13849 XOR 2 1 13786 13849 13850 XOR 2 1 571 13850 14008 XOR 2 1 13639 13695 13851 XOR 2 1 13793 13851 13852 XOR 2 1 795 13852 14009 XOR 2 1 13656 13709 13853 XOR 2 1 13800 13853 13854 XOR 2 1 419 13854 14010 XOR 2 1 13671 13722 13855 XOR 2 1 13807 13855 13856 XOR 2 1 463 13856 14011 XOR 2 1 13686 13731 13857 XOR 2 1 13814 13857 13858 XOR 2 1 778 13858 14012 XOR 2 1 13700 13740 13859 XOR 2 1 13821 13859 13860 XOR 2 1 402 13860 14013 XOR 2 1 13714 13747 13861 XOR 2 1 13827 13861 13862 XOR 2 1 446 13862 14014 XOR 2 1 13725 13754 13863 XOR 2 1 13833 13863 13864 XOR 2 1 1051 13864 14015 XOR 2 1 13734 13761 13865 XOR 2 1 13839 13865 13866 XOR 2 1 1066 13866 14016 XOR 2 1 13743 13768 13867 XOR 2 1 13843 13867 13868 XOR 2 1 822 13868 14017 XOR 2 1 13750 13775 13869 XOR 2 1 13454 13869 13870 XOR 2 1 863 13870 14018 XOR 2 1 13757 13782 13871 XOR 2 1 13491 13871 13872 XOR 2 1 889 13872 14019 XOR 2 1 13764 13789 13873 XOR 2 1 13517 13873 13874 XOR 2 1 668 13874 14020 XOR 2 1 13771 13796 13875 XOR 2 1 13539 13875 13876 XOR 2 1 716 13876 14021 XOR 2 1 13778 13803 13877 XOR 2 1 13560 13877 13878 XOR 2 1 427 13878 14022 XOR 2 1 13785 13810 13879 XOR 2 1 13581 13879 13880 XOR 2 1 471 13880 14023 XOR 2 1 13792 13817 13881 XOR 2 1 13602 13881 13882 XOR 2 1 703 13882 14024 XOR 2 1 13799 13824 13883 XOR 2 1 13623 13883 13884 XOR 2 1 410 13884 14025 XOR 2 1 13806 13830 13885 XOR 2 1 13640 13885 13886 XOR 2 1 454 13886 14026 XOR 2 1 13813 13836 13887 XOR 2 1 13657 13887 13888 XOR 2 1 394 13888 14027 XOR 2 1 13820 13842 13889 XOR 2 1 13672 13889 13890 XOR 2 1 438 13890 14028 XOR 2 1 13425 13826 13891 XOR 2 1 13687 13891 13892 XOR 2 1 787 13892 14029 XOR 2 1 13478 13832 13893 XOR 2 1 13701 13893 13894 XOR 2 1 966 13894 14030 XOR 2 1 13509 13838 13895 XOR 2 1 13715 13895 13896 XOR 2 1 562 13896 14031 XOR 2 1 13439 13531 13897 XOR 2 1 13726 13897 13898 XOR 2 1 682 13898 14032 XOR 2 1 13453 13552 13899 XOR 2 1 13735 13899 13900 XOR 2 1 722 13900 14033 XOR 2 1 13490 13573 13901 XOR 2 1 13744 13901 13902 XOR 2 1 981 13902 14034 XOR 2 1 13516 13594 13903 XOR 2 1 13751 13903 13904 XOR 2 1 653 13904 14035 XOR 2 1 13538 13615 13905 XOR 2 1 13758 13905 13906 XOR 2 1 709 13906 14036 XOR 2 1 13559 13634 13907 XOR 2 1 13765 13907 13908 XOR 2 1 643 13908 14037 XOR 2 1 13580 13651 13909 XOR 2 1 13772 13909 13910 XOR 2 1 697 13910 14038 XOR